Started by timer Running as SYSTEM Building remotely on build2-deb11build-ansible (ttcn3 obs osmocom-gerrit coverity osmocom-master) in workspace /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan The recommended git tool is: NONE No credentials specified Wiping out workspace first. Cloning the remote Git repository Cloning repository https://gerrit.osmocom.org/docker-playground > git init /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan # timeout=10 Fetching upstream changes from https://gerrit.osmocom.org/docker-playground > git --version # timeout=10 > git --version # 'git version 2.30.2' > git fetch --tags --force --progress -- https://gerrit.osmocom.org/docker-playground +refs/heads/*:refs/remotes/origin/* # timeout=10 > git config remote.origin.url https://gerrit.osmocom.org/docker-playground # timeout=10 > git config --add remote.origin.fetch +refs/heads/*:refs/remotes/origin/* # timeout=10 Avoid second fetch Seen branch in repository origin/arehbein/devtests Seen branch in repository origin/arehbein/devtests%topic=fixes Seen branch in repository origin/daniel/bscnat_tests Seen branch in repository origin/daniel/training Seen branch in repository origin/daniel/wip Seen branch in repository origin/fixeria/confmerge Seen branch in repository origin/fixeria/sccplite Seen branch in repository origin/fixeria/testing Seen branch in repository origin/jolly/testing Seen branch in repository origin/laforge/ergw Seen branch in repository origin/laforge/fr Seen branch in repository origin/laforge/ns Seen branch in repository origin/laforge/podman Seen branch in repository origin/lynxis/gerrit-comment-ci Seen branch in repository origin/master Seen branch in repository origin/neels/hnbgw-pfcp Seen branch in repository origin/neels/wip Seen branch in repository origin/osmith/fix-registry-pull Seen branch in repository origin/osmith/fix-rpi-gnutls Seen branch in repository origin/osmith/obs-2021q1 Seen branch in repository origin/osmith/rpm-local Seen branch in repository origin/osmith/ttcn3-pass-args Seen branch in repository origin/osmith/wip Seen branch in repository origin/osmith/wip-4g-only Seen branch in repository origin/osmith/wip-asan Seen branch in repository origin/pespin/bts-perf Seen branch in repository origin/pespin/ergw Seen branch in repository origin/pespin/gtp1 Seen branch in repository origin/pespin/master Seen branch in repository origin/pmaier/pcuif Seen branch in repository origin/refsf/for/master/dyn-pdch Seen 31 remote branches > git show-ref --tags -d # timeout=10 Checking out Revision a749a0b4aefed649d8bc2c5012a8386a4ad838f1 (origin/master) > git config core.sparsecheckout # timeout=10 > git checkout -f a749a0b4aefed649d8bc2c5012a8386a4ad838f1 # timeout=10 Commit message: "debian-bookworm-build-arm: FROM: add arm32v7" > git rev-list --no-walk a749a0b4aefed649d8bc2c5012a8386a4ad838f1 # timeout=10
[ttcn3-bts-test-asan] $ /bin/sh -xe /tmp/jenkins14133978350383302451.sh + export REGISTRY_HOST=registry.osmocom.org + echo ttcn3-bts-test-asan + sed s/-asan$// + DIR=ttcn3-bts-test + export IMAGE_SUFFIX=asan + export OSMOCOM_REPO_VERSION=asan + cd ttcn3-bts-test + ./jenkins.sh + [ x = x ] + REPO_USER=osmocom-build + [ x/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan = x ] + VOL_BASE_DIR=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs + mkdir -p /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs + [ ! -d /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs ] + [ xjenkins-ttcn3-bts-test-asan-95 = x ] + basename /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test + SUITE_NAME=ttcn3-bts-test + IMAGE_SUFFIX=asan + docker_images_require osmo-bsc-asan osmo-bts-asan osmocom-bb-host-master ttcn3-bts-test + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bsc-asan + local feed + echo debian-bookworm-obs-asan + depends=debian-bookworm-obs-asan + [ -n debian-bookworm-obs-asan ] + docker_images_require debian-bookworm-obs-asan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-obs-asan + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-obs-asan + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-obs-asan + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-obs-asan + echo debian-bookworm-obs-asan + dir=debian-bookworm-obs-asan + pull_arg=--pull + grep ^FROM ../debian-bookworm-obs-asan/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-obs-asan (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-obs-asan BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-obs-asan OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/debian-bookworm-obs-asan' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ --pull -t osmocom-build/debian-bookworm-obs-asan:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.38kB done #1 DONE 0.1s #2 [auth] sharing credentials for registry.osmocom.org #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/debian:bookworm #3 DONE 0.1s #4 [internal] load .dockerignore #4 transferring context: 2B done #4 DONE 0.0s #5 [internal] load build context #5 DONE 0.0s #6 [1/6] FROM registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf #6 resolve registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf 0.1s done #6 DONE 0.1s #5 [internal] load build context #5 transferring context: 1.96kB done #5 DONE 0.1s #7 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12//Release #7 DONE 0.3s #8 [2/6] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #8 CACHED #9 [3/6] COPY .COMMON/RELEASE.KEY /usr/share/keyrings/osmocom-nightly-asan.asc #9 CACHED #10 [4/6] RUN SET -x && useradd --uid=1000 -d /build -m build && chown -R build:build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #10 CACHED #11 [5/6] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates telnet && apt-get clean && echo "deb [signed-by=/usr/share/keyrings/osmocom-nightly-asan.asc] https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-nightly-asan.list #11 CACHED #12 [6/6] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/NIGHTLY:/ASAN/DEBIAN_12//RELEASE /tmp/Release #12 DONE 0.4s #13 exporting to image #13 exporting layers 0.1s done #13 writing image sha256:cccef4f6a5400aba429a9dc75a85f4f437603e14b3794840cd3d50de2aa3f2ce 0.0s done #13 naming to docker.io/osmocom-build/debian-bookworm-obs-asan:latest 0.0s done #13 DONE 0.2s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/debian-bookworm-obs-asan' + docker_image_exists debian-bookworm-obs-asan + docker images -q osmocom-build/debian-bookworm-obs-asan + test -n cccef4f6a540 + list_osmo_packages debian-bookworm debian-bookworm-obs-asan + local distro=debian-bookworm + local image=debian-bookworm-obs-asan + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-obs-asan -c + [ -n ] + return + docker_distro_from_image_name osmo-bsc-asan + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bsc-asan + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bsc-asan + sed s/-asan/-latest/ + echo osmo-bsc-asan + dir=osmo-bsc-latest + pull_arg=--pull + grep ^FROM ../osmo-bsc-latest/Dockerfile + from_line=FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + echo FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + grep -q $USER + pull_arg= + set +x Building image: osmo-bsc-asan (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bsc-latest BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bsc-asan OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmo-bsc-latest' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ -t osmocom-build/osmo-bsc-asan:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 659B done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-obs-asan:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [internal] load build context #4 transferring context: 8.74kB done #4 DONE 0.1s #5 [1/5] FROM docker.io/osmocom-build/debian-bookworm-obs-asan:latest #5 DONE 0.2s #6 [2/5] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends osmo-bsc osmo-bsc-ipaccess-utils && apt-get clean ;; centos*) dnf install -y osmo-bsc osmo-bsc-ipaccess-utils ;; esac #6 0.483 Hit:1 http://deb.debian.org/debian bookworm InRelease #6 0.483 Get:2 http://deb.debian.org/debian bookworm-updates InRelease [55.4 kB] #6 0.484 Get:3 http://deb.debian.org/debian-security bookworm-security InRelease [48.0 kB] #6 0.606 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ InRelease [1570 B] #6 0.640 Get:5 http://deb.debian.org/debian bookworm-updates/main amd64 Packages.diff/Index [11.7 kB] #6 0.649 Get:6 http://deb.debian.org/debian bookworm-updates/main amd64 Packages T-2024-09-10-2011.55-F-2024-09-10-2011.55.pdiff [1116 B] #6 0.662 Get:6 http://deb.debian.org/debian bookworm-updates/main amd64 Packages T-2024-09-10-2011.55-F-2024-09-10-2011.55.pdiff [1116 B] #6 0.706 Get:7 http://deb.debian.org/debian-security bookworm-security/main amd64 Packages [179 kB] #6 0.761 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ Packages [51.5 kB] #6 0.786 Fetched 348 kB in 0s (1058 kB/s) #6 0.786 Reading package lists... #6 1.238 Reading package lists... #6 1.596 Building dependency tree... #6 1.678 Reading state information... #6 1.757 The following additional packages will be installed: #6 1.757 libasan8 libmnl0 libosmo-mgcp-client14 libosmo-sigtran10 libosmoabis13 #6 1.757 libosmocore22 libosmoctrl0 libosmogsm20 libosmoisdn0 libosmonetif11 #6 1.757 libosmovty13 libsctp1 libtalloc2 libubsan1 liburing2 osmocom-nightly-asan #6 1.758 Suggested packages: #6 1.758 lksctp-tools #6 1.758 Recommended packages: #6 1.758 osmo-mgw #6 1.822 The following NEW packages will be installed: #6 1.822 libasan8 libmnl0 libosmo-mgcp-client14 libosmo-sigtran10 libosmoabis13 #6 1.822 libosmocore22 libosmoctrl0 libosmogsm20 libosmoisdn0 libosmonetif11 #6 1.822 libosmovty13 libsctp1 libtalloc2 libubsan1 liburing2 osmo-bsc #6 1.822 osmo-bsc-ipaccess-utils osmocom-nightly-asan #6 1.859 0 upgraded, 18 newly installed, 0 to remove and 0 not upgraded. #6 1.859 Need to get 22.4 MB of archives. #6 1.859 After this operation, 147 MB of additional disk space will be used. #6 1.859 Get:1 http://deb.debian.org/debian bookworm/main amd64 libasan8 amd64 12.2.0-14 [2195 kB] #6 1.901 Get:2 http://deb.debian.org/debian bookworm/main amd64 libmnl0 amd64 1.0.4-3 [12.5 kB] #6 1.901 Get:3 http://deb.debian.org/debian bookworm/main amd64 libsctp1 amd64 1.0.19+dfsg-2 [29.7 kB] #6 1.902 Get:4 http://deb.debian.org/debian bookworm/main amd64 libtalloc2 amd64 2.4.0-f2 [25.6 kB] #6 1.903 Get:5 http://deb.debian.org/debian bookworm/main amd64 libubsan1 amd64 12.2.0-14 [883 kB] #6 1.912 Get:6 http://deb.debian.org/debian bookworm/main amd64 liburing2 amd64 2.3-3 [12.6 kB] #6 2.002 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ osmocom-nightly-asan 202409112029 [1200 B] #6 2.013 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmocore22 1.10.0.12.6e1e.202409112029~asan [577 kB] #6 2.020 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmoisdn0 1.10.0.12.6e1e.202409112029~asan [162 kB] #6 2.021 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmogsm20 1.10.0.12.6e1e.202409112029~asan [1081 kB] #6 2.039 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmonetif11 1.5.1.5.89a1.202409112029~asan [197 kB] #6 2.040 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmovty13 1.10.0.12.6e1e.202409112029~asan [276 kB] #6 2.043 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmo-sigtran10 1.9.0.3.fc4c.202409112029~asan [526 kB] #6 2.048 Get:14 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmoabis13 1.6.0.202409112029~asan [314 kB] #6 2.050 Get:15 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmoctrl0 1.10.0.12.6e1e.202409112029~asan [96.5 kB] #6 2.051 Get:16 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmo-mgcp-client14 1.13.0.3.227a.202409112029~asan [207 kB] #6 2.053 Get:17 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ osmo-bsc 1.12.1.16.6e306.202409112029~asan [3101 kB] #6 2.083 Get:18 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ osmo-bsc-ipaccess-utils 1.12.1.16.6e306.202409112029~asan [12.7 MB] #6 2.447 debconf: delaying package configuration, since apt-utils is not installed #6 2.519 Fetched 22.4 MB in 0s (58.3 MB/s) #6 2.626 Selecting previously unselected package libasan8:amd64. #6 2.626 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 6628 files and directories currently installed.) #6 2.640 Preparing to unpack .../00-libasan8_12.2.0-14_amd64.deb ... #6 2.688 Unpacking libasan8:amd64 (12.2.0-14) ... #6 2.996 Selecting previously unselected package libmnl0:amd64. #6 2.998 Preparing to unpack .../01-libmnl0_1.0.4-3_amd64.deb ... #6 3.026 Unpacking libmnl0:amd64 (1.0.4-3) ... #6 3.175 Selecting previously unselected package osmocom-nightly-asan. #6 3.177 Preparing to unpack .../02-osmocom-nightly-asan_202409112029_amd64.deb ... #6 3.202 Unpacking osmocom-nightly-asan (202409112029) ... #6 3.394 Selecting previously unselected package libsctp1:amd64. #6 3.395 Preparing to unpack .../03-libsctp1_1.0.19+dfsg-2_amd64.deb ... #6 3.426 Unpacking libsctp1:amd64 (1.0.19+dfsg-2) ... #6 3.614 Selecting previously unselected package libtalloc2:amd64. #6 3.615 Preparing to unpack .../04-libtalloc2_2.4.0-f2_amd64.deb ... #6 3.641 Unpacking libtalloc2:amd64 (2.4.0-f2) ... #6 3.825 Selecting previously unselected package libubsan1:amd64. #6 3.826 Preparing to unpack .../05-libubsan1_12.2.0-14_amd64.deb ... #6 3.854 Unpacking libubsan1:amd64 (12.2.0-14) ... #6 4.096 Selecting previously unselected package liburing2:amd64. #6 4.098 Preparing to unpack .../06-liburing2_2.3-3_amd64.deb ... #6 4.127 Unpacking liburing2:amd64 (2.3-3) ... #6 4.316 Selecting previously unselected package libosmocore22:amd64. #6 4.317 Preparing to unpack .../07-libosmocore22_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 4.337 Unpacking libosmocore22:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 4.535 Selecting previously unselected package libosmoisdn0:amd64. #6 4.537 Preparing to unpack .../08-libosmoisdn0_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 4.558 Unpacking libosmoisdn0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 4.725 Selecting previously unselected package libosmogsm20:amd64. #6 4.726 Preparing to unpack .../09-libosmogsm20_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 4.753 Unpacking libosmogsm20:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 5.005 Selecting previously unselected package libosmonetif11:amd64. #6 5.006 Preparing to unpack .../10-libosmonetif11_1.5.1.5.89a1.202409112029~asan_amd64.deb ... #6 5.029 Unpacking libosmonetif11:amd64 (1.5.1.5.89a1.202409112029~asan) ... #6 5.218 Selecting previously unselected package libosmovty13:amd64. #6 5.219 Preparing to unpack .../11-libosmovty13_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 5.244 Unpacking libosmovty13:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 5.435 Selecting previously unselected package libosmo-sigtran10:amd64. #6 5.436 Preparing to unpack .../12-libosmo-sigtran10_1.9.0.3.fc4c.202409112029~asan_amd64.deb ... #6 5.462 Unpacking libosmo-sigtran10:amd64 (1.9.0.3.fc4c.202409112029~asan) ... #6 5.657 Selecting previously unselected package libosmoabis13:amd64. #6 5.658 Preparing to unpack .../13-libosmoabis13_1.6.0.202409112029~asan_amd64.deb ... #6 5.691 Unpacking libosmoabis13:amd64 (1.6.0.202409112029~asan) ... #6 5.876 Selecting previously unselected package libosmoctrl0:amd64. #6 5.877 Preparing to unpack .../14-libosmoctrl0_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 5.908 Unpacking libosmoctrl0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 6.087 Selecting previously unselected package libosmo-mgcp-client14:amd64. #6 6.088 Preparing to unpack .../15-libosmo-mgcp-client14_1.13.0.3.227a.202409112029~asan_amd64.deb ... #6 6.110 Unpacking libosmo-mgcp-client14:amd64 (1.13.0.3.227a.202409112029~asan) ... #6 6.323 Selecting previously unselected package osmo-bsc. #6 6.325 Preparing to unpack .../16-osmo-bsc_1.12.1.16.6e306.202409112029~asan_amd64.deb ... #6 6.350 Unpacking osmo-bsc (1.12.1.16.6e306.202409112029~asan) ... #6 6.634 Selecting previously unselected package osmo-bsc-ipaccess-utils. #6 6.635 Preparing to unpack .../17-osmo-bsc-ipaccess-utils_1.12.1.16.6e306.202409112029~asan_amd64.deb ... #6 6.650 Unpacking osmo-bsc-ipaccess-utils (1.12.1.16.6e306.202409112029~asan) ... #6 7.111 Setting up libtalloc2:amd64 (2.4.0-f2) ... #6 7.181 Setting up libmnl0:amd64 (1.0.4-3) ... #6 7.228 Setting up libubsan1:amd64 (12.2.0-14) ... #6 7.274 Setting up libsctp1:amd64 (1.0.19+dfsg-2) ... #6 7.319 Setting up libasan8:amd64 (12.2.0-14) ... #6 7.365 Setting up osmocom-nightly-asan (202409112029) ... #6 7.413 Setting up liburing2:amd64 (2.3-3) ... #6 7.458 Setting up libosmocore22:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.507 Setting up libosmovty13:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.556 Setting up libosmoisdn0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.607 Setting up libosmo-mgcp-client14:amd64 (1.13.0.3.227a.202409112029~asan) ... #6 7.658 Setting up libosmogsm20:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.704 Setting up libosmoabis13:amd64 (1.6.0.202409112029~asan) ... #6 7.751 Setting up libosmoctrl0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.798 Setting up libosmonetif11:amd64 (1.5.1.5.89a1.202409112029~asan) ... #6 7.845 Setting up libosmo-sigtran10:amd64 (1.9.0.3.fc4c.202409112029~asan) ... #6 7.894 Setting up osmo-bsc (1.12.1.16.6e306.202409112029~asan) ... #6 8.105 changed ownership of '/etc/osmocom/osmo-bsc.cfg' from root:root to osmocom:osmocom #6 8.106 mode of '/etc/osmocom/osmo-bsc.cfg' changed from 0644 (rw-r--r--) to 0660 (rw-rw----) #6 8.107 changed ownership of '/etc/osmocom' from root:root to root:osmocom #6 8.108 mode of '/etc/osmocom' changed from 0755 (rwxr-xr-x) to 2775 (rwxrwsr-x) #6 8.111 changed ownership of '/var/lib/osmocom' from root:root to osmocom:osmocom #6 8.208 Setting up osmo-bsc-ipaccess-utils (1.12.1.16.6e306.202409112029~asan) ... #6 8.255 Processing triggers for libc-bin (2.36-9+deb12u8) ... #6 DONE 8.8s #7 [3/5] WORKDIR /TMP #7 DONE 0.2s #8 [4/5] COPY OSMO-BSC.CFG /data/osmo-bsc.cfg #8 DONE 0.1s #9 [5/5] WORKDIR /DATA #9 DONE 0.1s #10 exporting to image #10 exporting layers #10 exporting layers 0.5s done #10 writing image sha256:def18086a57b7bf7c2cc146915edeef3ae2707e9e1d38b68de397fce83e83064 done #10 naming to docker.io/osmocom-build/osmo-bsc-asan:latest 0.0s done #10 DONE 0.5s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmo-bsc-latest' + docker_image_exists osmo-bsc-asan + docker images -q osmocom-build/osmo-bsc-asan + test -n def18086a57b + list_osmo_packages debian-bookworm osmo-bsc-asan + local distro=debian-bookworm + local image=osmo-bsc-asan + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bsc-asan -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bsc-asan ### ii libosmo-mgcp-client14:amd64 1.13.0.3.227a.202409112029~asan amd64 libosmo-mgcp-client: Osmocom's Media Gateway Control Protocol client utilities ii libosmo-sigtran10:amd64 1.9.0.3.fc4c.202409112029~asan amd64 Osmocom SIGTRAN library (SCCP, SUA, M3UA and more) ii libosmoabis13:amd64 1.6.0.202409112029~asan amd64 GSM A-bis handling ii libosmocore22:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo Core library ii libosmoctrl0:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo control library ii libosmogsm20:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.5.1.5.89a1.202409112029~asan amd64 Common/shared code regarding network interface for OpenBSC ii libosmovty13:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo VTY library ii osmo-bsc 1.12.1.16.6e306.202409112029~asan amd64 OsmoBSC: Osmocom's Base Station Controller for 2G circuit-switched mobile networks ii osmo-bsc-ipaccess-utils 1.12.1.16.6e306.202409112029~asan amd64 Command line utilities for ip.access nanoBTS ii osmocom-nightly-asan 202409112029 amd64 Dummy package, conflicts with ['osmocom-2022q1', 'osmocom-2022q2', 'osmocom-2023q1', 'osmocom-latest', 'osmocom-master', 'osmocom-nightly'] + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bts-asan + local feed + echo debian-bookworm-obs-asan + depends=debian-bookworm-obs-asan + [ -n debian-bookworm-obs-asan ] + docker_images_require debian-bookworm-obs-asan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-obs-asan + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-obs-asan + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-obs-asan + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-obs-asan + echo debian-bookworm-obs-asan + dir=debian-bookworm-obs-asan + pull_arg=--pull + grep ^FROM ../debian-bookworm-obs-asan/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-obs-asan (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-obs-asan BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-obs-asan OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/debian-bookworm-obs-asan' rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ --pull -t osmocom-build/debian-bookworm-obs-asan:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.38kB done #1 DONE 0.0s #2 [auth] sharing credentials for registry.osmocom.org #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/debian:bookworm #3 DONE 0.1s #4 [internal] load .dockerignore #4 transferring context: 2B done #4 DONE 0.1s #5 [internal] load build context #5 DONE 0.0s #6 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12//Release #6 DONE 0.0s #7 [1/6] FROM registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf #7 resolve registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf 0.1s done #7 DONE 0.1s #5 [internal] load build context #5 transferring context: 1.96kB done #5 DONE 0.0s #8 [2/6] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #8 CACHED #9 [3/6] COPY .COMMON/RELEASE.KEY /usr/share/keyrings/osmocom-nightly-asan.asc #9 CACHED #10 [4/6] RUN SET -x && useradd --uid=1000 -d /build -m build && chown -R build:build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #10 CACHED #11 [5/6] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates telnet && apt-get clean && echo "deb [signed-by=/usr/share/keyrings/osmocom-nightly-asan.asc] https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-nightly-asan.list #11 CACHED #12 [6/6] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/NIGHTLY:/ASAN/DEBIAN_12//RELEASE /tmp/Release #12 CACHED #13 exporting to image #13 exporting layers done #13 writing image sha256:cccef4f6a5400aba429a9dc75a85f4f437603e14b3794840cd3d50de2aa3f2ce 0.0s done #13 naming to docker.io/osmocom-build/debian-bookworm-obs-asan:latest 0.0s done #13 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/debian-bookworm-obs-asan' + docker_image_exists debian-bookworm-obs-asan + docker images -q osmocom-build/debian-bookworm-obs-asan + test -n cccef4f6a540 + list_osmo_packages debian-bookworm debian-bookworm-obs-asan + local distro=debian-bookworm + local image=debian-bookworm-obs-asan + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-obs-asan -c + [ -n ] + return + docker_distro_from_image_name osmo-bts-asan + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bts-asan + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bts-asan + echo osmo-bts-asan + sed s/-asan/-latest/ + dir=osmo-bts-latest + pull_arg=--pull + grep ^FROM ../osmo-bts-latest/Dockerfile + from_line=FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + echo FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + grep -q $USER + pull_arg= + set +x Building image: osmo-bts-asan (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bts-latest BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bts-asan OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmo-bts-latest' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ -t osmocom-build/osmo-bts-asan:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 751B done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-obs-asan:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [1/5] FROM docker.io/osmocom-build/debian-bookworm-obs-asan:latest #4 CACHED #5 [internal] load build context #5 transferring context: 1.63kB done #5 DONE 0.1s #6 [2/5] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends osmo-bts-trx osmo-bts-virtual && apt-get clean ;; centos*) dnf install -y osmo-bts osmo-bts-virtual osmo-bts-omldummy ;; esac #6 0.495 Hit:1 http://deb.debian.org/debian bookworm InRelease #6 0.495 Get:2 http://deb.debian.org/debian bookworm-updates InRelease [55.4 kB] #6 0.496 Get:3 http://deb.debian.org/debian-security bookworm-security InRelease [48.0 kB] #6 0.496 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ InRelease [1570 B] #6 0.644 Get:5 http://deb.debian.org/debian bookworm-updates/main amd64 Packages.diff/Index [11.7 kB] #6 0.652 Get:6 http://deb.debian.org/debian bookworm-updates/main amd64 Packages T-2024-09-10-2011.55-F-2024-09-10-2011.55.pdiff [1116 B] #6 0.663 Get:6 http://deb.debian.org/debian bookworm-updates/main amd64 Packages T-2024-09-10-2011.55-F-2024-09-10-2011.55.pdiff [1116 B] #6 0.717 Get:7 http://deb.debian.org/debian-security bookworm-security/main amd64 Packages [179 kB] #6 0.776 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ Packages [51.5 kB] #6 0.799 Fetched 348 kB in 0s (1044 kB/s) #6 0.799 Reading package lists... #6 1.165 Reading package lists... #6 1.522 Building dependency tree... #6 1.611 Reading state information... #6 1.690 The following additional packages will be installed: #6 1.690 libasan8 libbctoolbox1 libdecaf0 libmbedcrypto7 libmbedtls14 libmbedx509-1 #6 1.690 libmnl0 libortp16 libosmoabis13 libosmocodec4 libosmocoding0 libosmocore22 #6 1.690 libosmoctrl0 libosmogsm20 libosmoisdn0 libosmonetif11 libosmotrau10 #6 1.690 libosmovty13 libsctp1 libtalloc2 libubsan1 liburing2 osmocom-nightly-asan #6 1.691 Suggested packages: #6 1.691 lksctp-tools #6 1.779 The following NEW packages will be installed: #6 1.779 libasan8 libbctoolbox1 libdecaf0 libmbedcrypto7 libmbedtls14 libmbedx509-1 #6 1.779 libmnl0 libortp16 libosmoabis13 libosmocodec4 libosmocoding0 libosmocore22 #6 1.779 libosmoctrl0 libosmogsm20 libosmoisdn0 libosmonetif11 libosmotrau10 #6 1.779 libosmovty13 libsctp1 libtalloc2 libubsan1 liburing2 osmo-bts-trx #6 1.779 osmo-bts-virtual osmocom-nightly-asan #6 1.818 0 upgraded, 25 newly installed, 0 to remove and 0 not upgraded. #6 1.818 Need to get 9331 kB of archives. #6 1.818 After this operation, 57.0 MB of additional disk space will be used. #6 1.818 Get:1 http://deb.debian.org/debian bookworm/main amd64 libasan8 amd64 12.2.0-14 [2195 kB] #6 1.859 Get:2 http://deb.debian.org/debian bookworm/main amd64 libdecaf0 amd64 1.0.2-2 [87.2 kB] #6 1.861 Get:3 http://deb.debian.org/debian bookworm/main amd64 libmbedcrypto7 amd64 2.28.3-1 [277 kB] #6 1.864 Get:4 http://deb.debian.org/debian bookworm/main amd64 libmbedx509-1 amd64 2.28.3-1 [128 kB] #6 1.865 Get:5 http://deb.debian.org/debian bookworm/main amd64 libmbedtls14 amd64 2.28.3-1 [163 kB] #6 1.866 Get:6 http://deb.debian.org/debian bookworm/main amd64 libbctoolbox1 amd64 5.1.64-2 [129 kB] #6 1.867 Get:7 http://deb.debian.org/debian bookworm/main amd64 libmnl0 amd64 1.0.4-3 [12.5 kB] #6 1.869 Get:8 http://deb.debian.org/debian bookworm/main amd64 libortp16 amd64 1:5.1.64-2 [84.1 kB] #6 1.870 Get:9 http://deb.debian.org/debian bookworm/main amd64 libsctp1 amd64 1.0.19+dfsg-2 [29.7 kB] #6 1.871 Get:10 http://deb.debian.org/debian bookworm/main amd64 libtalloc2 amd64 2.4.0-f2 [25.6 kB] #6 1.872 Get:11 http://deb.debian.org/debian bookworm/main amd64 libubsan1 amd64 12.2.0-14 [883 kB] #6 1.881 Get:12 http://deb.debian.org/debian bookworm/main amd64 liburing2 amd64 2.3-3 [12.6 kB] #6 1.957 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ osmocom-nightly-asan 202409112029 [1200 B] #6 1.960 Get:14 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmocore22 1.10.0.12.6e1e.202409112029~asan [577 kB] #6 1.967 Get:15 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmoisdn0 1.10.0.12.6e1e.202409112029~asan [162 kB] #6 1.968 Get:16 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmogsm20 1.10.0.12.6e1e.202409112029~asan [1081 kB] #6 1.978 Get:17 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmovty13 1.10.0.12.6e1e.202409112029~asan [276 kB] #6 1.981 Get:18 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmoabis13 1.6.0.202409112029~asan [314 kB] #6 1.984 Get:19 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmocodec4 1.10.0.12.6e1e.202409112029~asan [68.0 kB] #6 1.984 Get:20 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmocoding0 1.10.0.12.6e1e.202409112029~asan [156 kB] #6 1.986 Get:21 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmoctrl0 1.10.0.12.6e1e.202409112029~asan [96.5 kB] #6 1.986 Get:22 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmonetif11 1.5.1.5.89a1.202409112029~asan [197 kB] #6 1.988 Get:23 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ libosmotrau10 1.6.0.202409112029~asan [115 kB] #6 1.989 Get:24 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ osmo-bts-trx 1.8.0.1.9512.202409112029~asan [1037 kB] #6 1.999 Get:25 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12 ./ osmo-bts-virtual 1.8.0.1.9512.202409112029~asan [1224 kB] #6 2.127 debconf: delaying package configuration, since apt-utils is not installed #6 2.181 Fetched 9331 kB in 0s (41.2 MB/s) #6 2.286 Selecting previously unselected package libasan8:amd64. #6 2.286 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 6628 files and directories currently installed.) #6 2.293 Preparing to unpack .../00-libasan8_12.2.0-14_amd64.deb ... #6 2.349 Unpacking libasan8:amd64 (12.2.0-14) ... #6 2.650 Selecting previously unselected package libdecaf0:amd64. #6 2.652 Preparing to unpack .../01-libdecaf0_1.0.2-2_amd64.deb ... #6 2.673 Unpacking libdecaf0:amd64 (1.0.2-2) ... #6 2.797 Selecting previously unselected package libmbedcrypto7:amd64. #6 2.798 Preparing to unpack .../02-libmbedcrypto7_2.28.3-1_amd64.deb ... #6 2.814 Unpacking libmbedcrypto7:amd64 (2.28.3-1) ... #6 2.947 Selecting previously unselected package libmbedx509-1:amd64. #6 2.949 Preparing to unpack .../03-libmbedx509-1_2.28.3-1_amd64.deb ... #6 2.964 Unpacking libmbedx509-1:amd64 (2.28.3-1) ... #6 3.083 Selecting previously unselected package libmbedtls14:amd64. #6 3.084 Preparing to unpack .../04-libmbedtls14_2.28.3-1_amd64.deb ... #6 3.099 Unpacking libmbedtls14:amd64 (2.28.3-1) ... #6 3.217 Selecting previously unselected package libbctoolbox1:amd64. #6 3.218 Preparing to unpack .../05-libbctoolbox1_5.1.64-2_amd64.deb ... #6 3.234 Unpacking libbctoolbox1:amd64 (5.1.64-2) ... #6 3.360 Selecting previously unselected package libmnl0:amd64. #6 3.361 Preparing to unpack .../06-libmnl0_1.0.4-3_amd64.deb ... #6 3.376 Unpacking libmnl0:amd64 (1.0.4-3) ... #6 3.480 Selecting previously unselected package libortp16:amd64. #6 3.481 Preparing to unpack .../07-libortp16_1%3a5.1.64-2_amd64.deb ... #6 3.497 Unpacking libortp16:amd64 (1:5.1.64-2) ... #6 3.599 Selecting previously unselected package osmocom-nightly-asan. #6 3.601 Preparing to unpack .../08-osmocom-nightly-asan_202409112029_amd64.deb ... #6 3.616 Unpacking osmocom-nightly-asan (202409112029) ... #6 3.729 Selecting previously unselected package libsctp1:amd64. #6 3.730 Preparing to unpack .../09-libsctp1_1.0.19+dfsg-2_amd64.deb ... #6 3.746 Unpacking libsctp1:amd64 (1.0.19+dfsg-2) ... #6 3.856 Selecting previously unselected package libtalloc2:amd64. #6 3.857 Preparing to unpack .../10-libtalloc2_2.4.0-f2_amd64.deb ... #6 3.872 Unpacking libtalloc2:amd64 (2.4.0-f2) ... #6 4.012 Selecting previously unselected package libubsan1:amd64. #6 4.013 Preparing to unpack .../11-libubsan1_12.2.0-14_amd64.deb ... #6 4.028 Unpacking libubsan1:amd64 (12.2.0-14) ... #6 4.196 Selecting previously unselected package liburing2:amd64. #6 4.198 Preparing to unpack .../12-liburing2_2.3-3_amd64.deb ... #6 4.214 Unpacking liburing2:amd64 (2.3-3) ... #6 4.324 Selecting previously unselected package libosmocore22:amd64. #6 4.325 Preparing to unpack .../13-libosmocore22_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 4.340 Unpacking libosmocore22:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 4.487 Selecting previously unselected package libosmoisdn0:amd64. #6 4.488 Preparing to unpack .../14-libosmoisdn0_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 4.504 Unpacking libosmoisdn0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 4.645 Selecting previously unselected package libosmogsm20:amd64. #6 4.646 Preparing to unpack .../15-libosmogsm20_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 4.661 Unpacking libosmogsm20:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 4.834 Selecting previously unselected package libosmovty13:amd64. #6 4.835 Preparing to unpack .../16-libosmovty13_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 4.853 Unpacking libosmovty13:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 5.000 Selecting previously unselected package libosmoabis13:amd64. #6 5.001 Preparing to unpack .../17-libosmoabis13_1.6.0.202409112029~asan_amd64.deb ... #6 5.023 Unpacking libosmoabis13:amd64 (1.6.0.202409112029~asan) ... #6 5.174 Selecting previously unselected package libosmocodec4:amd64. #6 5.176 Preparing to unpack .../18-libosmocodec4_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 5.194 Unpacking libosmocodec4:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 5.338 Selecting previously unselected package libosmocoding0:amd64. #6 5.340 Preparing to unpack .../19-libosmocoding0_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 5.358 Unpacking libosmocoding0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 5.481 Selecting previously unselected package libosmoctrl0:amd64. #6 5.482 Preparing to unpack .../20-libosmoctrl0_1.10.0.12.6e1e.202409112029~asan_amd64.deb ... #6 5.499 Unpacking libosmoctrl0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 5.616 Selecting previously unselected package libosmonetif11:amd64. #6 5.617 Preparing to unpack .../21-libosmonetif11_1.5.1.5.89a1.202409112029~asan_amd64.deb ... #6 5.633 Unpacking libosmonetif11:amd64 (1.5.1.5.89a1.202409112029~asan) ... #6 5.762 Selecting previously unselected package libosmotrau10:amd64. #6 5.764 Preparing to unpack .../22-libosmotrau10_1.6.0.202409112029~asan_amd64.deb ... #6 5.779 Unpacking libosmotrau10:amd64 (1.6.0.202409112029~asan) ... #6 5.915 Selecting previously unselected package osmo-bts-trx. #6 5.916 Preparing to unpack .../23-osmo-bts-trx_1.8.0.1.9512.202409112029~asan_amd64.deb ... #6 5.931 Unpacking osmo-bts-trx (1.8.0.1.9512.202409112029~asan) ... #6 6.121 Selecting previously unselected package osmo-bts-virtual. #6 6.123 Preparing to unpack .../24-osmo-bts-virtual_1.8.0.1.9512.202409112029~asan_amd64.deb ... #6 6.138 Unpacking osmo-bts-virtual (1.8.0.1.9512.202409112029~asan) ... #6 6.333 Setting up libdecaf0:amd64 (1.0.2-2) ... #6 6.411 Setting up libtalloc2:amd64 (2.4.0-f2) ... #6 6.484 Setting up libmbedcrypto7:amd64 (2.28.3-1) ... #6 6.530 Setting up libmnl0:amd64 (1.0.4-3) ... #6 6.576 Setting up libubsan1:amd64 (12.2.0-14) ... #6 6.632 Setting up libsctp1:amd64 (1.0.19+dfsg-2) ... #6 6.676 Setting up libasan8:amd64 (12.2.0-14) ... #6 6.721 Setting up osmocom-nightly-asan (202409112029) ... #6 6.765 Setting up liburing2:amd64 (2.3-3) ... #6 6.811 Setting up libmbedx509-1:amd64 (2.28.3-1) ... #6 6.857 Setting up libmbedtls14:amd64 (2.28.3-1) ... #6 6.903 Setting up libbctoolbox1:amd64 (5.1.64-2) ... #6 6.949 Setting up libortp16:amd64 (1:5.1.64-2) ... #6 6.995 Setting up libosmocore22:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.042 Setting up libosmocodec4:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.088 Setting up libosmovty13:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.141 Setting up libosmoisdn0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.187 Setting up libosmogsm20:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.232 Setting up libosmoabis13:amd64 (1.6.0.202409112029~asan) ... #6 7.303 Setting up libosmoctrl0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.355 Setting up libosmotrau10:amd64 (1.6.0.202409112029~asan) ... #6 7.408 Setting up libosmonetif11:amd64 (1.5.1.5.89a1.202409112029~asan) ... #6 7.468 Setting up libosmocoding0:amd64 (1.10.0.12.6e1e.202409112029~asan) ... #6 7.521 Setting up osmo-bts-virtual (1.8.0.1.9512.202409112029~asan) ... #6 7.742 changed ownership of '/etc/osmocom/osmo-bts-virtual.cfg' from root:root to osmocom:osmocom #6 7.743 mode of '/etc/osmocom/osmo-bts-virtual.cfg' changed from 0644 (rw-r--r--) to 0660 (rw-rw----) #6 7.745 changed ownership of '/etc/osmocom' from root:root to root:osmocom #6 7.745 mode of '/etc/osmocom' changed from 0755 (rwxr-xr-x) to 2775 (rwxrwsr-x) #6 7.748 changed ownership of '/var/lib/osmocom' from root:root to osmocom:osmocom #6 7.848 Setting up osmo-bts-trx (1.8.0.1.9512.202409112029~asan) ... #6 7.901 changed ownership of '/etc/osmocom/osmo-bts-trx.cfg' from root:root to osmocom:osmocom #6 7.902 mode of '/etc/osmocom/osmo-bts-trx.cfg' changed from 0644 (rw-r--r--) to 0660 (rw-rw----) #6 7.903 ownership of '/etc/osmocom' retained as root:osmocom #6 7.904 mode of '/etc/osmocom' retained as 2775 (rwxrwsr-x) #6 7.907 ownership of '/var/lib/osmocom' retained as osmocom:osmocom #6 8.000 Processing triggers for libc-bin (2.36-9+deb12u8) ... #6 DONE 8.6s #7 [3/5] WORKDIR /TMP #7 DONE 0.2s #8 [4/5] COPY OSMO-BTS.CFG /data/osmo-bts.cfg #8 DONE 0.1s #9 [5/5] WORKDIR /DATA #9 DONE 0.2s #10 exporting to image #10 exporting layers #10 exporting layers 0.3s done #10 writing image sha256:9415967ee4ea285d2abb99a224c30dd075e44ba0a560af11103a68a7a9e6e286 done #10 naming to docker.io/osmocom-build/osmo-bts-asan:latest 0.0s done #10 DONE 0.4s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmo-bts-latest' + docker_image_exists osmo-bts-asan + docker images -q osmocom-build/osmo-bts-asan + test -n 9415967ee4ea + list_osmo_packages debian-bookworm osmo-bts-asan + local distro=debian-bookworm + local image=osmo-bts-asan + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bts-asan -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bts-asan ### ii libosmoabis13:amd64 1.6.0.202409112029~asan amd64 GSM A-bis handling ii libosmocodec4:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo codec library ii libosmocoding0:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo coding library ii libosmocore22:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo Core library ii libosmoctrl0:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo control library ii libosmogsm20:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.5.1.5.89a1.202409112029~asan amd64 Common/shared code regarding network interface for OpenBSC ii libosmotrau10:amd64 1.6.0.202409112029~asan amd64 GSM trau handling ii libosmovty13:amd64 1.10.0.12.6e1e.202409112029~asan amd64 Osmo VTY library ii osmo-bts-trx 1.8.0.1.9512.202409112029~asan amd64 osmo-bts-trx GSM BTS with osmo-trx ii osmo-bts-virtual 1.8.0.1.9512.202409112029~asan amd64 Virtual Osmocom GSM BTS (no RF hardware; GSMTAP/UDP) ii osmocom-nightly-asan 202409112029 amd64 Dummy package, conflicts with ['osmocom-2022q1', 'osmocom-2022q2', 'osmocom-2023q1', 'osmocom-latest', 'osmocom-master', 'osmocom-nightly'] + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmocom-bb-host-master + local feed + depends= + [ -n ] + docker_distro_from_image_name osmocom-bb-host-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmocom-bb-host-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmocom-bb-host-master + echo osmocom-bb-host-master + dir=osmocom-bb-host-master + pull_arg=--pull + grep ^FROM ../osmocom-bb-host-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + echo FROM $USER/$DISTRO-build + grep -q $USER + pull_arg= + set +x Building image: osmocom-bb-host-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmocom-bb-host-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmocom-bb-host-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmocom-bb-host-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ -t osmocom-build/osmocom-bb-host-master:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.00kB done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [ 1/10] FROM docker.io/osmocom-build/debian-bookworm-build:latest #4 ... #5 https://gerrit.osmocom.org/plugins/gitiles/osmocom-bb/+/master?format=TEXT #5 DONE 0.2s #5 https://gerrit.osmocom.org/plugins/gitiles/osmocom-bb/+/master?format=TEXT #5 CACHED #4 [ 1/10] FROM docker.io/osmocom-build/debian-bookworm-build:latest #4 DONE 0.9s #6 [ 2/10] RUN APT-GET update && apt-get install -y --no-install-recommends libosmocore-dev && apt-get clean #6 0.393 Hit:1 http://deb.debian.org/debian bookworm InRelease #6 0.393 Get:2 http://deb.debian.org/debian bookworm-updates InRelease [55.4 kB] #6 0.393 Hit:3 http://deb.debian.org/debian-security bookworm-security InRelease #6 0.489 Hit:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ InRelease #6 0.740 Fetched 55.4 kB in 0s (144 kB/s) #6 0.740 Reading package lists... #6 1.133 Reading package lists... #6 1.493 Building dependency tree... #6 1.582 Reading state information... #6 1.666 The following additional packages will be installed: #6 1.666 libosmocodec4 libosmocoding0 libosmocore libosmocore22 libosmoctrl0 #6 1.666 libosmogb14 libosmogsm20 libosmoisdn0 libosmosim2 libosmousb0 libosmovty13 #6 1.666 osmocom-nightly #6 1.694 The following NEW packages will be installed: #6 1.694 libosmocodec4 libosmocoding0 libosmocore libosmocore-dev libosmocore22 #6 1.694 libosmoctrl0 libosmogb14 libosmogsm20 libosmoisdn0 libosmosim2 libosmousb0 #6 1.695 libosmovty13 osmocom-nightly #6 1.860 0 upgraded, 13 newly installed, 0 to remove and 0 not upgraded. #6 1.860 Need to get 1926 kB of archives. #6 1.860 After this operation, 6835 kB of additional disk space will be used. #6 1.860 Get:1 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ osmocom-nightly 202409112026 [1176 B] #6 1.873 Get:2 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore22 1.10.0.12.6e1e.202409112026 [169 kB] #6 1.876 Get:3 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocodec4 1.10.0.12.6e1e.202409112026 [50.6 kB] #6 1.877 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoisdn0 1.10.0.12.6e1e.202409112026 [69.7 kB] #6 1.878 Get:5 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogsm20 1.10.0.12.6e1e.202409112026 [226 kB] #6 1.880 Get:6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocoding0 1.10.0.12.6e1e.202409112026 [70.3 kB] #6 1.882 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmovty13 1.10.0.12.6e1e.202409112026 [103 kB] #6 1.884 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogb14 1.10.0.12.6e1e.202409112026 [177 kB] #6 1.886 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoctrl0 1.10.0.12.6e1e.202409112026 [58.8 kB] #6 1.888 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmosim2 1.10.0.12.6e1e.202409112026 [62.9 kB] #6 1.890 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmousb0 1.10.0.12.6e1e.202409112026 [49.6 kB] #6 1.891 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore 1.10.0.12.6e1e.202409112026 [42.9 kB] #6 1.893 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore-dev 1.10.0.12.6e1e.202409112026 [846 kB] #6 2.061 debconf: delaying package configuration, since apt-utils is not installed #6 2.116 Fetched 1926 kB in 0s (9535 kB/s) #6 2.172 Selecting previously unselected package osmocom-nightly. #6 2.172 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 117405 files and directories currently installed.) #6 2.272 Preparing to unpack .../00-osmocom-nightly_202409112026_amd64.deb ... #6 2.287 Unpacking osmocom-nightly (202409112026) ... #6 2.409 Selecting previously unselected package libosmocore22:amd64. #6 2.420 Preparing to unpack .../01-libosmocore22_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 2.451 Unpacking libosmocore22:amd64 (1.10.0.12.6e1e.202409112026) ... #6 2.587 Selecting previously unselected package libosmocodec4:amd64. #6 2.596 Preparing to unpack .../02-libosmocodec4_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 2.611 Unpacking libosmocodec4:amd64 (1.10.0.12.6e1e.202409112026) ... #6 2.736 Selecting previously unselected package libosmoisdn0:amd64. #6 2.746 Preparing to unpack .../03-libosmoisdn0_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 2.764 Unpacking libosmoisdn0:amd64 (1.10.0.12.6e1e.202409112026) ... #6 2.889 Selecting previously unselected package libosmogsm20:amd64. #6 2.901 Preparing to unpack .../04-libosmogsm20_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 2.916 Unpacking libosmogsm20:amd64 (1.10.0.12.6e1e.202409112026) ... #6 3.054 Selecting previously unselected package libosmocoding0:amd64. #6 3.065 Preparing to unpack .../05-libosmocoding0_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 3.080 Unpacking libosmocoding0:amd64 (1.10.0.12.6e1e.202409112026) ... #6 3.204 Selecting previously unselected package libosmovty13:amd64. #6 3.212 Preparing to unpack .../06-libosmovty13_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 3.229 Unpacking libosmovty13:amd64 (1.10.0.12.6e1e.202409112026) ... #6 3.352 Selecting previously unselected package libosmogb14:amd64. #6 3.363 Preparing to unpack .../07-libosmogb14_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 3.379 Unpacking libosmogb14:amd64 (1.10.0.12.6e1e.202409112026) ... #6 3.496 Selecting previously unselected package libosmoctrl0:amd64. #6 3.506 Preparing to unpack .../08-libosmoctrl0_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 3.521 Unpacking libosmoctrl0:amd64 (1.10.0.12.6e1e.202409112026) ... #6 3.640 Selecting previously unselected package libosmosim2:amd64. #6 3.649 Preparing to unpack .../09-libosmosim2_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 3.664 Unpacking libosmosim2:amd64 (1.10.0.12.6e1e.202409112026) ... #6 3.797 Selecting previously unselected package libosmousb0:amd64. #6 3.807 Preparing to unpack .../10-libosmousb0_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 3.827 Unpacking libosmousb0:amd64 (1.10.0.12.6e1e.202409112026) ... #6 3.944 Selecting previously unselected package libosmocore. #6 3.956 Preparing to unpack .../11-libosmocore_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 3.976 Unpacking libosmocore (1.10.0.12.6e1e.202409112026) ... #6 4.087 Selecting previously unselected package libosmocore-dev:amd64. #6 4.097 Preparing to unpack .../12-libosmocore-dev_1.10.0.12.6e1e.202409112026_amd64.deb ... #6 4.114 Unpacking libosmocore-dev:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.308 Setting up osmocom-nightly (202409112026) ... #6 4.360 Setting up libosmocore22:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.408 Setting up libosmocodec4:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.452 Setting up libosmovty13:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.497 Setting up libosmoisdn0:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.545 Setting up libosmousb0:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.591 Setting up libosmogsm20:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.638 Setting up libosmoctrl0:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.685 Setting up libosmogb14:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.732 Setting up libosmocoding0:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.779 Setting up libosmosim2:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.828 Setting up libosmocore (1.10.0.12.6e1e.202409112026) ... #6 4.873 Setting up libosmocore-dev:amd64 (1.10.0.12.6e1e.202409112026) ... #6 4.923 Processing triggers for libc-bin (2.36-9+deb12u8) ... #6 DONE 5.4s #7 [ 3/10] WORKDIR /TMP #7 DONE 0.3s #8 [ 4/10] RUN GIT clone https://gerrit.osmocom.org/osmocom-bb.git #8 0.374 Cloning into 'osmocom-bb'... #8 DONE 1.2s #9 [ 5/10] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMOCOM-BB/+/MASTER?FORMAT=TEXT /tmp/commit-osmocom-bb #9 DONE 0.2s #10 [ 6/10] RUN CD osmocom-bb && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD #10 0.501 Already on 'master' #10 0.502 Your branch is up to date with 'origin/master'. #10 0.505 refs/heads/master #10 0.514 HEAD is now at 04ea6f9c mobile: fix -Wmaybe-uninitialized in gsm48_rr_tx_meas_rep() #10 0.515 master #10 0.516 04ea6f9cab3d9d5120c77f88b500bad526564c0a #10 DONE 0.6s #11 [ 7/10] RUN CD osmocom-bb/src/host/trxcon && autoreconf -fi && ./configure && make "-j$(nproc)" install #11 3.768 libtoolize: putting auxiliary files in '.'. #11 3.768 libtoolize: copying file './ltmain.sh' #11 3.797 libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'. #11 3.797 libtoolize: copying file 'm4/libtool.m4' #11 3.825 libtoolize: copying file 'm4/ltoptions.m4' #11 3.852 libtoolize: copying file 'm4/ltsugar.m4' #11 3.880 libtoolize: copying file 'm4/ltversion.m4' #11 3.909 libtoolize: copying file 'm4/lt~obsolete.m4' #11 6.038 configure.ac:21: warning: The macro `AC_HEADER_STDC' is obsolete. #11 6.038 configure.ac:21: You should run autoupdate. #11 6.038 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #11 6.038 configure.ac:21: the top level #11 6.575 configure.ac:12: installing './compile' #11 6.579 configure.ac:24: installing './config.guess' #11 6.582 configure.ac:24: installing './config.sub' #11 6.586 configure.ac:3: installing './install-sh' #11 6.589 configure.ac:3: installing './missing' #11 6.672 src/Makefile.am: installing './depcomp' #11 6.800 checking for a BSD-compatible install... /usr/bin/install -c #11 6.809 checking whether build environment is sane... yes #11 6.823 checking for a race-free mkdir -p... /usr/bin/mkdir -p #11 6.825 checking for gawk... gawk #11 6.825 checking whether make sets $(MAKE)... yes #11 6.839 checking whether make supports nested variables... yes #11 6.847 checking whether make supports nested variables... (cached) yes #11 6.847 checking whether make sets $(MAKE)... (cached) yes #11 6.850 checking for gcc... gcc #11 6.884 checking whether the C compiler works... yes #11 6.941 checking for C compiler default output file name... a.out #11 6.943 checking for suffix of executables... #11 6.994 checking whether we are cross compiling... no #11 7.044 checking for suffix of object files... o #11 7.065 checking whether the compiler supports GNU C... yes #11 7.086 checking whether gcc accepts -g... yes #11 7.103 checking for gcc option to enable C11 features... none needed #11 7.132 checking whether gcc understands -c and -o together... yes #11 7.162 checking whether make supports the include directive... yes (GNU style) #11 7.172 checking dependency style of gcc... gcc3 #11 7.215 checking for pkg-config... /usr/bin/pkg-config #11 7.215 checking pkg-config is at least version 0.9.0... yes #11 7.217 checking for libosmocore... yes #11 7.224 checking for libosmocoding... yes #11 7.235 checking for libosmogsm... yes #11 7.247 checking for stdio.h... yes #11 7.268 checking for stdlib.h... yes #11 7.294 checking for string.h... yes #11 7.333 checking for inttypes.h... yes #11 7.357 checking for stdint.h... yes #11 7.382 checking for strings.h... yes #11 7.407 checking for sys/stat.h... yes #11 7.433 checking for sys/types.h... yes #11 7.459 checking for unistd.h... yes #11 7.492 checking for grep that handles long lines and -e... /usr/bin/grep #11 7.495 checking for egrep... /usr/bin/grep -E #11 7.506 checking build system type... x86_64-pc-linux-gnu #11 7.575 checking host system type... x86_64-pc-linux-gnu #11 7.575 checking how to print strings... printf #11 7.602 checking for a sed that does not truncate output... /usr/bin/sed #11 7.609 checking for fgrep... /usr/bin/grep -F #11 7.612 checking for ld used by gcc... /usr/bin/ld #11 7.619 checking if the linker (/usr/bin/ld) is GNU ld... yes #11 7.623 checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B #11 7.627 checking the name lister (/usr/bin/nm -B) interface... BSD nm #11 7.648 checking whether ln -s works... yes #11 7.648 checking the maximum length of command line arguments... 1572864 #11 7.656 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop #11 7.656 checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop #11 7.656 checking for /usr/bin/ld option to reload object files... -r #11 7.656 checking for file... file #11 7.656 checking for objdump... objdump #11 7.657 checking how to recognize dependent libraries... pass_all #11 7.657 checking for dlltool... no #11 7.657 checking how to associate runtime and link libraries... printf %s\n #11 7.657 checking for ar... ar #11 7.658 checking for archiver @FILE support... @ #11 7.687 checking for strip... strip #11 7.687 checking for ranlib... ranlib #11 7.687 checking command to parse /usr/bin/nm -B output from gcc object... ok #11 7.757 checking for sysroot... no #11 7.757 checking for a working dd... /usr/bin/dd #11 7.763 checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 #11 7.782 checking for mt... no #11 7.782 checking if : is a manifest tool... no #11 7.789 checking for dlfcn.h... yes #11 7.815 checking for objdir... .libs #11 7.889 checking if gcc supports -fno-rtti -fno-exceptions... no #11 7.916 checking for gcc option to produce PIC... -fPIC -DPIC #11 7.916 checking if gcc PIC flag -fPIC -DPIC works... yes #11 7.940 checking if gcc static flag -static works... yes #11 8.006 checking if gcc supports -c -o file.o... yes #11 8.041 checking if gcc supports -c -o file.o... (cached) yes #11 8.041 checking whether the gcc linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes #11 8.055 checking whether -lc should be explicitly linked in... no #11 8.090 checking dynamic linker characteristics... GNU/Linux ld.so #11 8.161 checking how to hardcode library paths into programs... immediate #11 8.161 checking whether stripping libraries is possible... yes #11 8.163 checking if libtool supports shared libraries... yes #11 8.163 checking whether to build shared libraries... yes #11 8.163 checking whether to build static libraries... yes #11 8.164 CFLAGS=" -std=gnu11" #11 8.164 CPPFLAGS="" #11 8.196 checking that generated files are newer than configure... done #11 8.197 configure: creating ./config.status #11 8.853 config.status: creating include/Makefile #11 8.872 config.status: creating include/osmocom/Makefile #11 8.896 config.status: creating include/osmocom/bb/Makefile #11 8.919 config.status: creating include/osmocom/bb/l1sched/Makefile #11 8.941 config.status: creating include/osmocom/bb/trxcon/Makefile #11 8.964 config.status: creating src/Makefile #11 8.987 config.status: creating Makefile #11 9.006 config.status: executing depfiles commands #11 9.115 config.status: executing libtool commands #11 9.171 echo 0.0.0 > .version-t && mv .version-t .version #11 9.174 make install-recursive #11 9.177 make[1]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 9.183 Making install in include #11 9.186 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.190 Making install in osmocom #11 9.192 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.198 Making install in bb #11 9.200 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.205 Making install in l1sched #11 9.208 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.211 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.211 make[6]: Nothing to be done for 'install-exec-am'. #11 9.211 make[6]: Nothing to be done for 'install-data-am'. #11 9.211 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.212 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.212 Making install in trxcon #11 9.214 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.217 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.217 make[6]: Nothing to be done for 'install-exec-am'. #11 9.217 make[6]: Nothing to be done for 'install-data-am'. #11 9.217 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.217 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.221 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.225 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.225 make[6]: Nothing to be done for 'install-exec-am'. #11 9.225 make[6]: Nothing to be done for 'install-data-am'. #11 9.225 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.225 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.226 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.228 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.231 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.231 make[5]: Nothing to be done for 'install-exec-am'. #11 9.231 make[5]: Nothing to be done for 'install-data-am'. #11 9.231 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.232 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.232 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.235 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.239 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.239 make[4]: Nothing to be done for 'install-exec-am'. #11 9.239 make[4]: Nothing to be done for 'install-data-am'. #11 9.239 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.240 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.240 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.240 Making install in src #11 9.244 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 9.245 CC l1ctl_server.o #11 9.245 CC logging.o #11 9.246 CC trxcon_main.o #11 9.246 CC trx_if.o #11 9.246 CC trxcon_inst.lo #11 9.247 CC trxcon_fsm.lo #11 9.248 CC trxcon_shim.lo #11 9.248 CC l1ctl.lo #11 9.249 CC sched_lchan_common.lo #11 9.249 CC sched_lchan_pdtch.lo #11 9.250 CC sched_lchan_desc.lo #11 9.250 CC sched_lchan_xcch.lo #11 9.251 CC sched_lchan_tchf.lo #11 9.251 CC sched_lchan_tchh.lo #11 9.252 CC sched_lchan_rach.lo #11 9.253 CC sched_lchan_sch.lo #11 9.300 CC sched_mframe.lo #11 9.321 CC sched_prim.lo #11 9.338 CC sched_trx.lo #11 9.356 sched_lchan_tchh.c: In function 'rx_tchh_fn': #11 9.356 sched_lchan_tchh.c:339:17: warning: 'gsm0503_tch_hr_decode' is deprecated: Use gsm0503_tch_hr_decode2() instead [-Wdeprecated-declarations] #11 9.356 339 | rc = gsm0503_tch_hr_decode(&tch_data[0], BUFTAIL8(bursts_p), #11 9.356 | ^~ #11 9.357 In file included from sched_lchan_tchh.c:36: #11 9.357 /usr/include/osmocom/coding/gsm0503_coding.h:52:5: note: declared here #11 9.357 52 | int gsm0503_tch_hr_decode(uint8_t *tch_data, const sbit_t *bursts, int odd, #11 9.357 | ^~~~~~~~~~~~~~~~~~~~~ #11 9.407 CC l1gprs.lo #11 9.574 CCLD libtrxcon.la #11 9.612 CCLD libl1sched.la #11 9.677 CCLD libl1gprs.la #11 9.781 CCLD trxcon #11 10.28 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.28 make[3]: Nothing to be done for 'install-data-am'. #11 10.28 /usr/bin/mkdir -p '/usr/local/bin' #11 10.29 /bin/bash ../libtool --mode=install /usr/bin/install -c trxcon '/usr/local/bin' #11 10.33 libtool: install: /usr/bin/install -c trxcon /usr/local/bin/trxcon #11 10.33 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.33 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.34 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.34 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.34 make[3]: Nothing to be done for 'install-exec-am'. #11 10.34 make[3]: Nothing to be done for 'install-data-am'. #11 10.34 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.34 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.34 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 DONE 10.5s #12 [ 8/10] RUN CD osmocom-bb/src/host/virt_phy && autoreconf -fi && ./configure && make "-j$(nproc)" install #12 2.241 configure.ac:3: warning: 'AM_CONFIG_HEADER': this macro is obsolete. #12 2.241 configure.ac:3: You should use the 'AC_CONFIG_HEADERS' macro instead. #12 2.241 ./lib/autoconf/general.m4:2434: AC_DIAGNOSE is expanded from... #12 2.241 aclocal.m4:1089: AM_CONFIG_HEADER is expanded from... #12 2.241 configure.ac:3: the top level #12 2.241 configure.ac:23: warning: The macro `AC_HEADER_STDC' is obsolete. #12 2.241 configure.ac:23: You should run autoupdate. #12 2.241 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #12 2.241 configure.ac:23: the top level #12 2.806 configure.ac:13: installing './compile' #12 2.809 configure.ac:4: installing './install-sh' #12 2.812 configure.ac:4: installing './missing' #12 2.877 src/Makefile.am: installing './depcomp' #12 3.010 checking for a BSD-compatible install... /usr/bin/install -c #12 3.020 checking whether build environment is sane... yes #12 3.034 checking for a race-free mkdir -p... /usr/bin/mkdir -p #12 3.037 checking for gawk... gawk #12 3.038 checking whether make sets $(MAKE)... yes #12 3.051 checking whether make supports nested variables... yes #12 3.059 checking whether make supports nested variables... (cached) yes #12 3.059 checking whether make sets $(MAKE)... (cached) yes #12 3.062 checking for gcc... gcc #12 3.090 checking whether the C compiler works... yes #12 3.131 checking for C compiler default output file name... a.out #12 3.132 checking for suffix of executables... #12 3.172 checking whether we are cross compiling... no #12 3.213 checking for suffix of object files... o #12 3.238 checking whether the compiler supports GNU C... yes #12 3.263 checking whether gcc accepts -g... yes #12 3.290 checking for gcc option to enable C11 features... none needed #12 3.326 checking whether gcc understands -c and -o together... yes #12 3.368 checking whether make supports the include directive... yes (GNU style) #12 3.379 checking dependency style of gcc... gcc3 #12 3.416 checking for pkg-config... /usr/bin/pkg-config #12 3.417 checking pkg-config is at least version 0.9.0... yes #12 3.419 checking for libosmocore... yes #12 3.428 checking for libosmogsm... yes #12 3.439 checking for stdio.h... yes #12 3.461 checking for stdlib.h... yes #12 3.485 checking for string.h... yes #12 3.506 checking for inttypes.h... yes #12 3.530 checking for stdint.h... yes #12 3.551 checking for strings.h... yes #12 3.572 checking for sys/stat.h... yes #12 3.593 checking for sys/types.h... yes #12 3.618 checking for unistd.h... yes #12 3.647 checking for grep that handles long lines and -e... /usr/bin/grep #12 3.650 checking for egrep... /usr/bin/grep -E #12 3.652 CFLAGS=" -std=gnu11" #12 3.652 CPPFLAGS="" #12 3.671 checking that generated files are newer than configure... done #12 4.028 configure: creating ./config.status #12 4.131 config.status: creating Makefile #12 4.149 config.status: creating include/Makefile #12 4.171 config.status: creating include/osmocom/Makefile #12 4.193 config.status: creating include/osmocom/bb/Makefile #12 4.214 config.status: creating include/osmocom/bb/virtphy/Makefile #12 4.236 config.status: creating src/Makefile #12 4.257 config.status: creating config.h #12 4.271 config.status: executing depfiles commands #12 4.417 Making install in include #12 4.419 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.425 Making install in osmocom #12 4.427 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.432 Making install in bb #12 4.435 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.440 Making install in virtphy #12 4.442 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.446 make[5]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.446 make[5]: Nothing to be done for 'install-exec-am'. #12 4.446 make[5]: Nothing to be done for 'install-data-am'. #12 4.446 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.446 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.449 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.452 make[5]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.452 make[5]: Nothing to be done for 'install-exec-am'. #12 4.452 make[5]: Nothing to be done for 'install-data-am'. #12 4.452 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.452 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.453 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.456 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.459 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.459 make[4]: Nothing to be done for 'install-exec-am'. #12 4.459 make[4]: Nothing to be done for 'install-data-am'. #12 4.459 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.459 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.460 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.462 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.465 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.465 make[3]: Nothing to be done for 'install-exec-am'. #12 4.465 make[3]: Nothing to be done for 'install-data-am'. #12 4.465 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.465 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.466 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.466 Making install in src #12 4.470 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.472 CC virtphy.o #12 4.472 CC l1gprs.o #12 4.473 CC logging.o #12 4.473 CC gsmtapl1_if.o #12 4.474 CC l1ctl_sock.o #12 4.474 CC virt_prim_pm.o #12 4.474 CC l1ctl_sap.o #12 4.475 CC virt_prim_fbsb.o #12 4.476 CC virt_prim_data.o #12 4.476 CC virt_prim_rach.o #12 4.477 CC virt_prim_traffic.o #12 4.477 CC virt_prim_pdch.o #12 4.478 CC virt_l1_sched_simple.o #12 4.478 CC virt_l1_model.o #12 4.483 CC shared/virtual_um.o #12 4.483 CC shared/osmo_mcast_sock.o #12 4.605 CCLD virtphy #12 4.661 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.662 make[2]: Nothing to be done for 'install-data-am'. #12 4.663 /usr/bin/mkdir -p '/usr/local/bin' #12 4.667 /usr/bin/install -c virtphy '/usr/local/bin' #12 4.670 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.670 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.673 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.679 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.679 make[2]: Nothing to be done for 'install-exec-am'. #12 4.681 /usr/bin/mkdir -p '/usr/local/share/doc/virtphy' #12 4.684 /usr/bin/install -c -m 644 README '/usr/local/share/doc/virtphy' #12 4.687 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.687 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy' #12 DONE 4.9s #13 [ 9/10] RUN MKDIR -p /data/unix #13 DONE 0.4s #14 [10/10] WORKDIR /DATA #14 DONE 0.2s #15 exporting to image #15 exporting layers #15 exporting layers 0.5s done #15 writing image sha256:264b920d0f53e7eec4f0dc3c08426e14b3d791659265713f5a432f301891656b done #15 naming to docker.io/osmocom-build/osmocom-bb-host-master:latest 0.0s done #15 DONE 0.6s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmocom-bb-host-master' + docker_image_exists osmocom-bb-host-master + docker images -q osmocom-build/osmocom-bb-host-master + test -n 264b920d0f53 + list_osmo_packages debian-bookworm osmocom-bb-host-master + local distro=debian-bookworm + local image=osmocom-bb-host-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmocom-bb-host-master -c + [ -n ] + return + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends ttcn3-bts-test + local feed + echo debian-bookworm-titan + depends=debian-bookworm-titan + [ -n debian-bookworm-titan ] + docker_images_require debian-bookworm-titan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker pull registry.osmocom.org/osmocom-build/debian-bookworm-titan Using default tag: latest latest: Pulling from osmocom-build/debian-bookworm-titan Digest: sha256:00ea4ed1eea02094fbacf572c5ee2f6d9ad752a154eaad516f2f4bce43665e7d Status: Image is up to date for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest + continue + docker_distro_from_image_name ttcn3-bts-test + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name ttcn3-bts-test + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name ttcn3-bts-test + echo ttcn3-bts-test + dir=ttcn3-bts-test + pull_arg=--pull + grep ^FROM ../ttcn3-bts-test/Dockerfile + from_line=FROM $REGISTRY/$USER/debian-bookworm-titan + echo FROM $REGISTRY/$USER/debian-bookworm-titan + grep -q $USER + pull_arg= + set +x Building image: ttcn3-bts-test (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../ttcn3-bts-test BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/ttcn3-bts-test OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ -t osmocom-build/ttcn3-bts-test:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 393B done #1 DONE 0.0s #2 [internal] load metadata for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [1/4] FROM registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 876B done #5 DONE 0.0s #6 https://gerrit.osmocom.org/plugins/gitiles/osmo-ttcn3-hacks/+/master?format=TEXT #6 DONE 0.1s #7 [2/4] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-TTCN3-HACKS/+/MASTER?FORMAT=TEXT /tmp/commit #7 CACHED #8 [3/4] RUN TTCN3-DOCKER-PREPARE "master" bts #8 CACHED #9 [4/4] COPY GENERIC/BTS_TESTS.CFG /data/BTS_Tests.cfg #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:ac867451b614efb30a6dcf450ef72a203ff02c529c0fb2e11d18d08509a5392f 0.1s done #10 naming to docker.io/osmocom-build/ttcn3-bts-test:latest #10 naming to docker.io/osmocom-build/ttcn3-bts-test:latest done #10 DONE 0.1s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test' + docker_image_exists ttcn3-bts-test + docker images -q osmocom-build/ttcn3-bts-test + test -n ac867451b614 + list_osmo_packages debian-bookworm ttcn3-bts-test + local distro=debian-bookworm + local image=ttcn3-bts-test + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/ttcn3-bts-test -c + [ -n ] + return + set_clean_up_trap + trap clean_up_common EXIT INT TERM 0 + set -e + network_create + SUBNET=167460 + seq 1 30 + echo (167460 + 1) % 256 + bc + SUBNET=37 + NET_NAME=ttcn3-bts-test-37 + SUB4=172.18.37.0/24 + SUB6=fd02:db8:37::/64 + set +x Creating network ttcn3-bts-test-37, trying SUBNET=37... + docker network create --internal --subnet 172.18.37.0/24 --ipv6 --subnet fd02:db8:37::/64 ttcn3-bts-test-37 1cf39527a63f8318cee7ab06052335c1a6958233fccd25bea931dcc37e15c692 + set +x ### Network ttcn3-bts-test-37 created (SUBNET=37) ### + return + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic + cp generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy + cp virtphy/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml + cp oml/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping + cp fh/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg + cp /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc + cp Makefile /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile + network_replace_subnet_in_configs + set +x Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + make -f /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile cfg command -v osmo-config-merge /usr/bin/osmo-config-merge docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bts.cfg /data/osmo-bts.src.cfg docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bsc.cfg /data/osmo-bsc.src.cfg osmo-config-merge osmo-bts.src.cfg generic/osmo-bts.confmerge > generic/osmo-bts.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.37.10/" generic/osmo-bts.gen.cfg osmo-config-merge osmo-bsc.src.cfg generic/osmo-bsc.confmerge > generic/osmo-bsc.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.37.10/" generic/osmo-bsc.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg virtphy/osmo-bts.confmerge > virtphy/osmo-bts.gen.cfg sed -i "/osmotrx/d" virtphy/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg oml/osmo-bts.confmerge > oml/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bsc.gen.cfg fh/osmo-bsc.confmerge > fh/osmo-bsc.gen.cfg + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc + cp generic/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/unix + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/fake_trx + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/trxcon + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/virtphy + start_config_generic + test_config_enabled generic + local config=generic + local i + local valid=0 + [ generic = generic ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ generic = generic ] + return 0 + network_replace_subnet_in_configs + set +x Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/osmo-bts.gen.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 37 11 + NET=37 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-37 --ip 172.18.37.11 --ip6 fd02:db8:37::11 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.11 --ip6 fd02:db8:37::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc:/data --name jenkins-ttcn3-bts-test-asan-95-bsc -d osmocom-build/osmo-bsc-asan /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 6dc3290538b2ec7d54b07f0e92a9d6cbe6dbf4f93a9d92f0eac83fda3eaada25 + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 37 20 + NET=37 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-37 --ip 172.18.37.20 --ip6 fd02:db8:37::20 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.20 --ip6 fd02:db8:37::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-asan-95-bts -d osmocom-build/osmo-bts-asan /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 16c096477381e9fb935c7f6dc6cc9a91a66652296a06115a6aba258e84dbaf04 + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 37 21 + NET=37 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-37 --ip 172.18.37.21 --ip6 fd02:db8:37::21 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.21 --ip6 fd02:db8:37::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-asan-95-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.37.20 -r 172.18.37.22 --trx TRX1@172.18.37.20:5700/1 --trx TRX2@172.18.37.20:5700/2 --trx TRX3@172.18.37.20:5700/3 >>/data/fake_trx.out 2>&1 4de3e847dc056d6b5f39a170b8797abda1d64334c029f4ba3f9bf53454069f4d + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 37 22 + NET=37 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-37 --ip 172.18.37.22 --ip6 fd02:db8:37::22 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.22 --ip6 fd02:db8:37::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-95-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.37.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 9936bf9a0c14f249147c78ce7e5c7882db51e9e306f65aafda9c36b3a397c22c + start_testsuite generic + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=generic + docker_network_params 37 10 + NET=37 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-37 --ip 172.18.37.10 --ip6 fd02:db8:37::10 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.10 --ip6 fd02:db8:37::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.37.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-95-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@3d11daa8b17c: Unix server socket created successfully. MC@3d11daa8b17c: Listening on TCP port 39239. MC2> 3d11daa8b17c is the default spawn /osmo-ttcn3-hacks/bts/BTS_Tests 3d11daa8b17c 39239 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@3d11daa8b17c: New HC connected from 172.18.37.10 [172.18.37.10]. 3d11daa8b17c: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@3d11daa8b17c: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@3d11daa8b17c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@3d11daa8b17c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@3d11daa8b17c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@3d11daa8b17c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@3d11daa8b17c: Configuration file was processed on all HCs. MC@3d11daa8b17c: Creating MTC on host 172.18.37.10. MC@3d11daa8b17c: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Thu Sep 12 12:39:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL(4)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL(4)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL(4)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL(4)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_est_dchan-RSL(4)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_est_dchan-RSL(4)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 32, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(6)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(7)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(8)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(9)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(10)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(11)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(12)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(13)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(13)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 0, t3 := 22, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(14)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 45, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(15)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 32, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(16)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 32, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(17)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 4, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(18)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 45, t2 := 5 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(19)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 4, t2 := 13 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(20)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(21)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(22)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 45, t2 := 24 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(23)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 1, t3 := 4, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(24)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11100110'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_est_dchan(25)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@3d11daa8b17c: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Thu Sep 12 12:40:13 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13837791) Waiting for packet dumper to finish... 1 (prev_count=13837791, count=13843401) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Thu Sep 12 12:40:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL(27)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL(27)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@3d11daa8b17c: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_chan_act_stress finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Thu Sep 12 12:40:21 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9318679) Waiting for packet dumper to finish... 1 (prev_count=9318679, count=9319176) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Thu Sep 12 12:40:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL(31)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL(31)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_chan_act_react-RSL(31)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL(31)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL(31)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_chan_act_react-RSL(31)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_react(33)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@3d11daa8b17c: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_chan_act_react finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Thu Sep 12 12:40:26 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1904257) Waiting for packet dumper to finish... 1 (prev_count=1904257, count=1904754) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Thu Sep 12 12:40:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@3d11daa8b17c: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Thu Sep 12 12:40:32 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1882346) Waiting for packet dumper to finish... 1 (prev_count=1882346, count=1882843) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Thu Sep 12 12:40:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(51)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(52)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@3d11daa8b17c: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1129 TC_chan_act_wrong_nr-RSL(39)@3d11daa8b17c: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(40)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Thu Sep 12 12:40:38 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2003879) Waiting for packet dumper to finish... 1 (prev_count=2003879, count=2004376) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Thu Sep 12 12:40:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_deact_sacch-RSL(55)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_deact_sacch-RSL(55)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_deact_sacch-RSL(55)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(57)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(58)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(59)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(60)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(61)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_deact_sacch(62)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(62)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_deact_sacch(63)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(63)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(64)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(65)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(66)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_deact_sacch(67)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(67)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_deact_sacch(68)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(68)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_deact_sacch(69)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(69)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_deact_sacch(70)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(70)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_deact_sacch(71)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(71)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_deact_sacch(72)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(72)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_deact_sacch(73)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(73)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_deact_sacch(74)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(74)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_deact_sacch(75)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(75)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_deact_sacch(76)@3d11daa8b17c: setverdict(pass): none -> pass TC_deact_sacch(76)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1210 IPA-CTRL-CLI-IPA(56)@3d11daa8b17c: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@3d11daa8b17c: Final verdict of PTC: none TC_deact_sacch-RSL(55)@3d11daa8b17c: Final verdict of PTC: none TC_deact_sacch(76)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_deact_sacch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Thu Sep 12 12:42:13 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=88267848) Waiting for packet dumper to finish... 1 (prev_count=88267848, count=88268345) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Thu Sep 12 12:42:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL(78)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL(78)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sacch_filling-RSL(78)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(80)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(81)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(82)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(83)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(84)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_filling(85)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(85)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_filling(86)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(86)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(87)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(88)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(89)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_filling(90)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(90)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_filling(91)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(91)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_filling(92)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(92)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_filling(93)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(93)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_filling(94)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(94)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_filling(95)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(95)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_filling(96)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(96)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_filling(97)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(97)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_filling(98)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(98)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_filling(99)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_filling(99)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@3d11daa8b17c: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_sacch_filling finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Thu Sep 12 12:42:33 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11598536) Waiting for packet dumper to finish... 1 (prev_count=11598536, count=11599033) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Thu Sep 12 12:42:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(103)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(104)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(105)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(106)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(107)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_info_mod(108)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(108)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_info_mod(109)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(109)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(110)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(111)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(112)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_info_mod(113)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(113)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_info_mod(114)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(114)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_info_mod(115)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(115)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_info_mod(116)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(116)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_info_mod(117)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(117)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_info_mod(118)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(118)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_info_mod(119)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(119)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_info_mod(120)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(120)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_info_mod(121)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(121)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_info_mod(122)@3d11daa8b17c: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_info_mod(122)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@3d11daa8b17c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@3d11daa8b17c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@3d11daa8b17c: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_sacch_info_mod finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Thu Sep 12 12:43:07 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30134094) Waiting for packet dumper to finish... 1 (prev_count=30134094, count=30134591) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Thu Sep 12 12:43:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sacch_multi-RSL(124)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sacch_multi-RSL(124)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(126)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(127)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(128)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(129)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(130)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi(131)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(131)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi(132)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(132)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(133)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(134)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(135)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi(136)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(136)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi(137)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(137)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi(138)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(138)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi(139)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(139)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi(140)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(140)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi(141)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(141)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi(142)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(142)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi(143)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(143)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi(144)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(144)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi(145)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi(145)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL(124)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@3d11daa8b17c: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_sacch_multi finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Thu Sep 12 12:44:38 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=84914810) Waiting for packet dumper to finish... 1 (prev_count=84914810, count=84915399) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Thu Sep 12 12:44:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi_chg(154)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi_chg(155)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi_chg(159)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi_chg(160)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi_chg(161)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi_chg(162)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi_chg(163)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi_chg(164)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi_chg(165)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi_chg(166)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi_chg(167)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi_chg(168)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@3d11daa8b17c: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Thu Sep 12 12:46:18 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=90496494) Waiting for packet dumper to finish... 1 (prev_count=90496494, count=90496991) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Thu Sep 12 12:46:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL(170)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(172)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(173)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(175)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(176)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_chan_act(177)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(177)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_chan_act(178)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(178)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(179)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(180)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(181)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_chan_act(182)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(182)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act(183)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(183)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_chan_act(184)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(184)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_chan_act(185)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(185)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_chan_act(186)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(186)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_chan_act(187)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(187)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act(188)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(188)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_chan_act(189)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(189)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_chan_act(190)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(190)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_chan_act(191)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act(191)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@3d11daa8b17c: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_sacch_chan_act finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Thu Sep 12 12:46:53 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=26480337) Waiting for packet dumper to finish... 1 (prev_count=26480337, count=26480834) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Thu Sep 12 12:46:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: Timeout waiting for SACCH 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FC'O TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: Timeout waiting for SACCH 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FC'O TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: Timeout waiting for SACCH 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FC'O TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: Timeout waiting for SACCH 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FC'O TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@3d11daa8b17c: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Thu Sep 12 12:47:27 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30949924) Waiting for packet dumper to finish... 1 (prev_count=30949924, count=30950421) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Thu Sep 12 12:47:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: Timeout waiting for SACCH 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FC'O TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: Timeout waiting for SACCH 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FC'O TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: Timeout waiting for SACCH 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FC'O TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: Timeout waiting for SACCH 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FC'O TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@3d11daa8b17c: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Thu Sep 12 12:48:20 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=48953785) Waiting for packet dumper to finish... 1 (prev_count=48953785, count=48954282) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Thu Sep 12 12:48:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rach_content-RSL(207)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@3d11daa8b17c: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rach_content finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Thu Sep 12 12:48:39 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12516221) Waiting for packet dumper to finish... 1 (prev_count=12516221, count=12521394) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Thu Sep 12 12:48:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@3d11daa8b17c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content_emerg-RSL(210)@3d11daa8b17c: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(211)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rach_content_emerg finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Thu Sep 12 12:48:53 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11451583) Waiting for packet dumper to finish... 1 (prev_count=11451583, count=11452517) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Thu Sep 12 12:48:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rach_count-RSL(213)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_count-RSL-IPA(212)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rach_count-RSL(213)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 34, t2 := 17 } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@3d11daa8b17c: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rach_count finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Thu Sep 12 12:49:12 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14569917) Waiting for packet dumper to finish... 1 (prev_count=14569917, count=14570414) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Thu Sep 12 12:49:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL(216)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL(216)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL(216)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL(216)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 45, t2 := 11 } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@3d11daa8b17c: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rach_max_ta finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Thu Sep 12 12:49:27 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10313917) Waiting for packet dumper to finish... 1 (prev_count=10313917, count=10314414) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Thu Sep 12 12:49:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL(219)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL(219)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ho_rach-RSL(219)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL(219)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL(219)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL(219)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(221)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(222)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(223)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(224)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(225)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_ho_rach(226)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(226)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(226)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_ho_rach(227)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(227)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(227)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(228)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(229)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(230)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_ho_rach(231)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(231)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(231)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ho_rach(232)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(232)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(232)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_ho_rach(233)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(233)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_ho_rach(234)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(234)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_ho_rach(235)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(235)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_ho_rach(236)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(236)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ho_rach(237)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(237)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_ho_rach(238)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(238)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_ho_rach(239)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(239)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_ho_rach(240)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_rach(240)@3d11daa8b17c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@3d11daa8b17c: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_ho_rach finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Thu Sep 12 12:49:35 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4521393) Waiting for packet dumper to finish... 1 (prev_count=4521393, count=4521890) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Thu Sep 12 12:49:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL(242)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@3d11daa8b17c: setverdict(pass): none -> pass TC_ho_physical_info(244)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@3d11daa8b17c: Sending handover Access Burst TC_ho_physical_info(244)@3d11daa8b17c: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@3d11daa8b17c: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info-RSL(242)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(243)@3d11daa8b17c: Final verdict of PTC: none TC_ho_physical_info(244)@3d11daa8b17c: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info-RSL-IPA(241)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@3d11daa8b17c: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Thu Sep 12 12:49:43 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4202011) Waiting for packet dumper to finish... 1 (prev_count=4202011, count=4202508) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Thu Sep 12 12:49:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@3d11daa8b17c: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Thu Sep 12 12:49:59 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5468158) Waiting for packet dumper to finish... 1 (prev_count=5468158, count=5473331) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Thu Sep 12 12:50:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: Established a new IPA connection (conn_id=5) MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@3d11daa8b17c: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Thu Sep 12 12:50:10 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4162223) Waiting for packet dumper to finish... 1 (prev_count=4162223, count=4162720) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Thu Sep 12 12:50:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL(252)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rach_load_count-RSL(252)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL(252)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@3d11daa8b17c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@3d11daa8b17c: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rach_load_count finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Thu Sep 12 12:50:35 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17328852) Waiting for packet dumper to finish... 1 (prev_count=17328852, count=17329349) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Thu Sep 12 12:50:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Thu Sep 12 12:50:49 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11208460) Waiting for packet dumper to finish... 1 (prev_count=11208460, count=11213633) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Thu Sep 12 12:50:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL(259)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Thu Sep 12 12:51:02 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11194227) Waiting for packet dumper to finish... 1 (prev_count=11194227, count=11194724) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Thu Sep 12 12:51:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_speech_tchh(266)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchh(266)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh-RSL(263)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(264)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh(265): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh(266): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass'. Thu Sep 12 12:51:24 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19683728) Waiting for packet dumper to finish... 1 (prev_count=19683728, count=19689338) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Thu Sep 12 12:51:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh_facch-RSL(268)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(267)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(269)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(267): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(268): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(269): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_facch(270): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_facch(271): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchh_facch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass'. Thu Sep 12 12:51:46 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_facch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19690508) Waiting for packet dumper to finish... 1 (prev_count=19690508, count=19691005) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Thu Sep 12 12:51:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3680 TC_meas_res_speech_tchh_toa256-RSL(273)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(274)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(272): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(273): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(274): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_toa256(275): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_toa256(276): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass'. Thu Sep 12 12:52:07 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_toa256 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19686065) Waiting for packet dumper to finish... 1 (prev_count=19686065, count=19686562) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Thu Sep 12 12:52:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_tchf(281)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_tchf(282)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_tchf(283)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3694 TC_meas_res_sign_tchf-RSL(278)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(279)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_sign_tchf-RSL-IPA(277)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(277): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchf-RSL(278): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(279): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchf(280): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchf(281): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchf(282): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchf(283): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_sign_tchf finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass'. Thu Sep 12 12:52:45 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=38174633) Waiting for packet dumper to finish... 1 (prev_count=38174633, count=38175130) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Thu Sep 12 12:52:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_tchh(288)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3707 TC_meas_res_sign_tchh-RSL(285)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(284)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(286)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(284): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchh-RSL(285): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(286): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchh(287): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchh(288): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_sign_tchh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass'. Thu Sep 12 12:53:07 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18330147) Waiting for packet dumper to finish... 1 (prev_count=18330147, count=18330644) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Thu Sep 12 12:53:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(290)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(291)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(289)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(289): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(290): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(291): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch4(292): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch4(293): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch4(294): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch4(295): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Thu Sep 12 12:53:45 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31119115) Waiting for packet dumper to finish... 1 (prev_count=31119115, count=31119612) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Thu Sep 12 12:53:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3733 TC_meas_res_sign_sdcch8-RSL(297)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(298)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(296)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(296): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(297): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(298): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8(299): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8(300): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8(301): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8(302): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8(303): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8(304): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8(305): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_sdcch8(306): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_sign_sdcch8 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass'. Thu Sep 12 12:54:55 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch8 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=60669051) Waiting for packet dumper to finish... 1 (prev_count=60669051, count=60669548) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Thu Sep 12 12:54:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@3d11daa8b17c: Final verdict of PTC: pass TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3747 TC_meas_res_sign_tchh_toa256-RSL(308)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(309)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(307): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(308): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(309): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchh_toa256(310): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_sign_tchh_toa256(311): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass'. Thu Sep 12 12:55:22 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh_toa256 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19346916) Waiting for packet dumper to finish... 1 (prev_count=19346916, count=19347413) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Thu Sep 12 12:55:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: Established a new IPA connection (conn_id=5) MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(313)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(314)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(312): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(313): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(314): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(315): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Thu Sep 12 12:55:35 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11207238) Waiting for packet dumper to finish... 1 (prev_count=11207238, count=11207735) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Thu Sep 12 12:55:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(317)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(318)@3d11daa8b17c: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(316): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(317): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(318): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(319): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Thu Sep 12 12:55:49 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10791399) Waiting for packet dumper to finish... 1 (prev_count=10791399, count=10796572) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Thu Sep 12 12:55:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(323)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(321)@3d11daa8b17c: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(322)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(320): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(321): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(322): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(323): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Thu Sep 12 12:56:01 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7673218) Waiting for packet dumper to finish... 1 (prev_count=7673218, count=7673715) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Thu Sep 12 12:56:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=0 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=0 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=0 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=0 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=0 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=0 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=8 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=8 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=8 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=8 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=16 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=16 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=16 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=16 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=20 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=24 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=24 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=24 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=24 TC_tx_power_down_bcch(327)@3d11daa8b17c: Received rx_level=30 TC_tx_power_down_bcch(327)@3d11daa8b17c: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: IPA: Closed TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@3d11daa8b17c: Final verdict of PTC: none TC_tx_power_down_bcch(327)@3d11daa8b17c: setverdict(pass): none -> pass TC_tx_power_down_bcch(327)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(325)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(326)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(324): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_down_bcch-RSL(325): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(326): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_down_bcch(327): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Thu Sep 12 12:56:16 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7008647) Waiting for packet dumper to finish... 1 (prev_count=7008647, count=7009144) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Thu Sep 12 12:56:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Tx power increased during ramp up: 0 -> 30 TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tx_power_ramp_adm_state_change(331)@3d11daa8b17c: Final verdict of PTC: pass reason: "Didn't receive data_ind while in rf_locked state." MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3040 TC_tx_power_ramp_adm_state_change-RSL(329)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(330)@3d11daa8b17c: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(328): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(329): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(330): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tx_power_ramp_adm_state_change(331): pass (none -> pass) reason: "Didn't receive data_ind while in rf_locked state." MTC@3d11daa8b17c: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: pass reason: Didn't receive data_ind while in rf_locked state. MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change pass'. Thu Sep 12 12:56:42 UTC 2024 ====== BTS_Tests.TC_tx_power_ramp_adm_state_change pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18027357) Waiting for packet dumper to finish... 1 (prev_count=18027357, count=18027854) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Thu Sep 12 12:56:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: SACCH received: '00000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(333)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(334)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(332): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(333): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(334): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_bs_pwr_static_ass(335): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Thu Sep 12 12:56:49 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2829817) Waiting for packet dumper to finish... 1 (prev_count=2829817, count=2834990) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Thu Sep 12 12:56:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(337)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(338)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(336): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(337): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(338): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(339): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Thu Sep 12 12:56:56 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2888875) Waiting for packet dumper to finish... 1 (prev_count=2888875, count=2889372) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Thu Sep 12 12:56:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(343)@3d11daa8b17c: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(344)@3d11daa8b17c: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(345)@3d11daa8b17c: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(346)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3894 TC_rsl_ms_pwr_ctrl-RSL(341)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(342)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(340): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(341): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(342): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_ctrl(343): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_ctrl(344): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_ctrl(345): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_ctrl(346): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass'. Thu Sep 12 12:58:03 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_ctrl pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=71241645) Waiting for packet dumper to finish... 1 (prev_count=71241645, count=71242142) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Thu Sep 12 12:58:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(350)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(348)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(349)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(347): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(348): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(349): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(350): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Thu Sep 12 12:58:24 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14682901) Waiting for packet dumper to finish... 1 (prev_count=14682901, count=14688511) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Thu Sep 12 12:58:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(354)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(352)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(353)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(352): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(353): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(354): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Thu Sep 12 12:58:40 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13617744) Waiting for packet dumper to finish... 1 (prev_count=13617744, count=13618241) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Thu Sep 12 12:58:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(358)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(356)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(357)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(355): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(356): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(357): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(358): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Thu Sep 12 12:59:05 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24004957) Waiting for packet dumper to finish... 1 (prev_count=24004957, count=24005454) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Thu Sep 12 12:59:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(362)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(360)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(361)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(359): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(360): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(361): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(362): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Thu Sep 12 12:59:21 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13612353) Waiting for packet dumper to finish... 1 (prev_count=13612353, count=13612850) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Thu Sep 12 12:59:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(366)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(365)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(364): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(365): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(366): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Thu Sep 12 12:59:33 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9371540) Waiting for packet dumper to finish... 1 (prev_count=9371540, count=9377150) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Thu Sep 12 12:59:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(370)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(368)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(369)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(367): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(368): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(369): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(370): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Thu Sep 12 12:59:49 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13186892) Waiting for packet dumper to finish... 1 (prev_count=13186892, count=13192065) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Thu Sep 12 12:59:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(374)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(374)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(374)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_chan_initial_ms_pwr(375)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(375)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(375)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(375)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(375)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(376)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(376)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(376)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(376)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(376)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_chan_initial_ms_pwr(377)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(377)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(377)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(377)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(377)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(372)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(373)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(371): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(372): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(373): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(374): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(375): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(376): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(377): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Thu Sep 12 12:59:56 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3614328) Waiting for packet dumper to finish... 1 (prev_count=3614328, count=3614825) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Thu Sep 12 13:00:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(381)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(381)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(381)@3d11daa8b17c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(381)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(381)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(381)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(379)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(380)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(378)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(378): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(379): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(380): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_chan_initial_ta(381): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Thu Sep 12 13:00:02 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2339012) Waiting for packet dumper to finish... 1 (prev_count=2339012, count=2339509) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Thu Sep 12 13:00:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(385)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_modify_encr(385)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(385)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_rsl_modify_encr(385)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_modify_encr(386)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_modify_encr(386)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_rsl_modify_encr(386)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(387)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_modify_encr(387)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_rsl_modify_encr(387)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_modify_encr(388)@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_modify_encr(388)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_rsl_modify_encr(388)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(383)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(382)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(384)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(382): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_modify_encr-RSL(383): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(384): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_modify_encr(385): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_modify_encr(386): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_modify_encr(387): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_modify_encr(388): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Thu Sep 12 13:00:21 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14224255) Waiting for packet dumper to finish... 1 (prev_count=14224255, count=14224752) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Thu Sep 12 13:00:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7811 TC_rsl_rf_resource_ind-RSL-IPA(389)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL(390)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(391)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(389): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(390): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(391): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Thu Sep 12 13:00:40 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13953012) Waiting for packet dumper to finish... 1 (prev_count=13953012, count=13953509) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Thu Sep 12 13:00:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit(395)@3d11daa8b17c: setverdict(pass): none -> pass TC_conn_fail_crit(395)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(395)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(395)@3d11daa8b17c: Final verdict of PTC: pass TC_conn_fail_crit-RSL(393)@3d11daa8b17c: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(392)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(394)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(392): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_conn_fail_crit-RSL(393): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(394): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_conn_fail_crit(395): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_conn_fail_crit finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Thu Sep 12 13:01:02 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16989589) Waiting for packet dumper to finish... 1 (prev_count=16989589, count=16990086) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Thu Sep 12 13:01:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: num_paging_sent=271 rcvd_msgs=171 rcvd_ids=271 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4329 IPA-CTRL-CLI-IPA(398)@3d11daa8b17c: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(396)@3d11daa8b17c: Final verdict of PTC: none TC_paging_imsi_80percent-RSL(397)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(396): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_paging_imsi_80percent-RSL(397): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(398): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Thu Sep 12 13:01:29 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21015415) Waiting for packet dumper to finish... 1 (prev_count=21015415, count=21015912) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Thu Sep 12 13:01:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: num_paging_sent=543 rcvd_msgs=173 rcvd_ids=543 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(400)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(401)@3d11daa8b17c: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(399)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(399): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_paging_tmsi_80percent-RSL(400): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(401): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Thu Sep 12 13:01:57 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21421523) Waiting for packet dumper to finish... 1 (prev_count=21421523, count=21422020) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Thu Sep 12 13:02:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: num_paging_sent=679 rcvd_msgs=268 rcvd_ids=535 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(403)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(404)@3d11daa8b17c: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(402)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(402): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_paging_imsi_200percent-RSL(403): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(404): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Thu Sep 12 13:02:36 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32330774) Waiting for packet dumper to finish... 1 (prev_count=32330774, count=32331271) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Thu Sep 12 13:02:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=870 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(406)@3d11daa8b17c: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(405)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(407)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(405): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_paging_tmsi_200percent-RSL(406): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(407): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Thu Sep 12 13:03:09 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=28972985) Waiting for packet dumper to finish... 1 (prev_count=28972985, count=28978158) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Thu Sep 12 13:03:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(409)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(410)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(408)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(408): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_protocol_error-RSL(409): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(410): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Thu Sep 12 13:03:14 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1871729) Waiting for packet dumper to finish... 1 (prev_count=1871729, count=1877339) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Thu Sep 12 13:03:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(412)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(413)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(411)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(411): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_mand_ie_error-RSL(412): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(413): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Thu Sep 12 13:03:20 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1881060) Waiting for packet dumper to finish... 1 (prev_count=1881060, count=1881557) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Thu Sep 12 13:03:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(415)@3d11daa8b17c: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(414)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(416)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(414): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rsl_ie_content_error-RSL(415): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(416): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Thu Sep 12 13:03:25 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1880143) Waiting for packet dumper to finish... 1 (prev_count=1880143, count=1880640) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Thu Sep 12 13:03:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_si_sched_default-RSL(418)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL(418)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL(418)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_si_sched_default-RSL(418)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: "TC_si_sched_default": TC=0 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_default": TC=1 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_default": TC=2 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_default": TC=3 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_default": TC=4 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_default": TC=5 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_default": TC=6 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_default": TC=7 has #of SI=4 MTC@3d11daa8b17c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(418)@3d11daa8b17c: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(417)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(419)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_default-RSL-IPA(417): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_default-RSL(418): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(419): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_si_sched_default finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Thu Sep 12 13:03:39 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8834786) Waiting for packet dumper to finish... 1 (prev_count=8834786, count=8839959) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Thu Sep 12 13:03:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_si_sched_1-RSL(421)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_si_sched_1-RSL(421)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_si_sched_1-RSL(421)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: "TC_si_sched_1": TC=0 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_1": TC=1 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_1": TC=2 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_1": TC=3 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_1": TC=4 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_1": TC=5 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_1": TC=6 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_1": TC=7 has #of SI=4 MTC@3d11daa8b17c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(421)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(422)@3d11daa8b17c: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(420)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_1-RSL-IPA(420): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_1-RSL(421): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(422): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_si_sched_1 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Thu Sep 12 13:03:53 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8872451) Waiting for packet dumper to finish... 1 (prev_count=8872451, count=8872948) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Thu Sep 12 13:03:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL(424)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL(424)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_2bis": TC=4 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@3d11daa8b17c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(424)@3d11daa8b17c: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(423)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(425)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(423): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_2bis-RSL(424): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(425): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_si_sched_2bis finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Thu Sep 12 13:04:06 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8858952) Waiting for packet dumper to finish... 1 (prev_count=8858952, count=8859449) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Thu Sep 12 13:04:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL(427)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(427)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(427)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL(427)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@3d11daa8b17c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(427)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(428)@3d11daa8b17c: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(426)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(426): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_2ter-RSL(427): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(428): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_si_sched_2ter finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Thu Sep 12 13:04:20 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8796756) Waiting for packet dumper to finish... 1 (prev_count=8796756, count=8797253) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Thu Sep 12 13:04:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@3d11daa8b17c: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@3d11daa8b17c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(430)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(431)@3d11daa8b17c: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(429)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(429): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(430): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(431): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Thu Sep 12 13:04:34 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8827772) Waiting for packet dumper to finish... 1 (prev_count=8827772, count=8833382) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Thu Sep 12 13:04:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL(433)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 25, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@3d11daa8b17c: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@3d11daa8b17c: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@3d11daa8b17c: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@3d11daa8b17c: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@3d11daa8b17c: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@3d11daa8b17c: "TC_si_sched_2quater": TC=6 has #of SI=8 MTC@3d11daa8b17c: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@3d11daa8b17c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(433)@3d11daa8b17c: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(432)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(434)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(432): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_2quater-RSL(433): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(434): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_si_sched_2quater finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Thu Sep 12 13:04:55 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15706575) Waiting for packet dumper to finish... 1 (prev_count=15706575, count=15707072) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Thu Sep 12 13:04:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL(436)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL(436)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL(436)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL(436)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL(436)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL(436)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL(436)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL(436)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL(436)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL(436)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: "TC_si_sched_13": TC=0 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_13": TC=1 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_13": TC=2 has #of SI=3 MTC@3d11daa8b17c: "TC_si_sched_13": TC=3 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_13": TC=4 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_13": TC=5 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_13": TC=6 has #of SI=4 MTC@3d11daa8b17c: "TC_si_sched_13": TC=7 has #of SI=4 MTC@3d11daa8b17c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@3d11daa8b17c: not-bccch-extended MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL-IPA(435)@3d11daa8b17c: Final verdict of PTC: none TC_si_sched_13-RSL(436)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(437)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_13-RSL-IPA(435): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_13-RSL(436): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_si_sched_13 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Thu Sep 12 13:05:09 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8388727) Waiting for packet dumper to finish... 1 (prev_count=8388727, count=8393900) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Thu Sep 12 13:05:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@3d11daa8b17c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@3d11daa8b17c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@3d11daa8b17c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@3d11daa8b17c: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@3d11daa8b17c: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@3d11daa8b17c: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@3d11daa8b17c: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@3d11daa8b17c: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@3d11daa8b17c: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@3d11daa8b17c: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@3d11daa8b17c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@3d11daa8b17c: not-bccch-extended MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(439)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(440)@3d11daa8b17c: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(439): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(440): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Thu Sep 12 13:05:31 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15839713) Waiting for packet dumper to finish... 1 (prev_count=15839713, count=15840210) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Thu Sep 12 13:05:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(444)@3d11daa8b17c: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(444)@3d11daa8b17c: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL(442)@3d11daa8b17c: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL-IPA(441)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(443)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(441): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(442): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(443): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_dlcx_not_active(444): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Thu Sep 12 13:05:36 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1887591) Waiting for packet dumper to finish... 1 (prev_count=1887591, count=1888088) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Thu Sep 12 13:05:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(448)@3d11daa8b17c: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(448)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(448)@3d11daa8b17c: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(446)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(447)@3d11daa8b17c: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(445)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(445): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(446): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(447): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_twice_not_active(448): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Thu Sep 12 13:05:42 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1892765) Waiting for packet dumper to finish... 1 (prev_count=1892765, count=1893262) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Thu Sep 12 13:05:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(452)@3d11daa8b17c: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(452)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(452)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(452)@3d11daa8b17c: Final verdict of PTC: pass TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@3d11daa8b17c: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(451)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(451): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(452): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Thu Sep 12 13:05:48 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1891388) Waiting for packet dumper to finish... 1 (prev_count=1891388, count=1891885) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Thu Sep 12 13:05:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@3d11daa8b17c: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@3d11daa8b17c: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@3d11daa8b17c: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(455)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(455): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Thu Sep 12 13:05:53 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1899312) Waiting for packet dumper to finish... 1 (prev_count=1899312, count=1899809) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Thu Sep 12 13:05:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(460)@3d11daa8b17c: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(460)@3d11daa8b17c: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active(461)@3d11daa8b17c: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(461)@3d11daa8b17c: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(458)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(459)@3d11daa8b17c: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(457): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(458): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(459): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(460): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(461): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Thu Sep 12 13:05:59 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1889303) Waiting for packet dumper to finish... 1 (prev_count=1889303, count=1894476) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Thu Sep 12 13:06:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(465)@3d11daa8b17c: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(465)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(465)@3d11daa8b17c: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(466)@3d11daa8b17c: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(466)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(466)@3d11daa8b17c: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL(463)@3d11daa8b17c: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL-IPA(462)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(464)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(462): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(463): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(464): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_ack_addr(465): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@3d11daa8b17c: Local verdict of PTC TC_ipa_crcx_ack_addr(466): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@3d11daa8b17c: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Thu Sep 12 13:06:04 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1896666) Waiting for packet dumper to finish... 1 (prev_count=1896666, count=1901381) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Thu Sep 12 13:06:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: Rx LOAD_IND MTC@3d11daa8b17c: num_paging_sent=679 rcvd_msgs=268 rcvd_ids=535 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(468)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(469)@3d11daa8b17c: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(467): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(468): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(469): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Thu Sep 12 13:06:44 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32177386) Waiting for packet dumper to finish... 1 (prev_count=32177386, count=32182996) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Thu Sep 12 13:06:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(471)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(471)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL(471)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(471)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL(471)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_act_req-RSL(471)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(471)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL(471)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req-RSL(471)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL(471)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req-RSL(471)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL(471)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_act_req-RSL(471)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(472)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(470)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_act_req-RSL-IPA(470): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_act_req-RSL(471): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(472): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_act_req finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Thu Sep 12 13:06:52 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3287323) Waiting for packet dumper to finish... 1 (prev_count=3287323, count=3287820) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Thu Sep 12 13:06:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(474)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(475)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(473)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(473): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(474): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(475): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Thu Sep 12 13:07:04 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4626844) Waiting for packet dumper to finish... 1 (prev_count=4626844, count=4632454) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Thu Sep 12 13:07:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(477)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(476)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(478)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(476): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(477): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(478): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Thu Sep 12 13:07:15 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4636189) Waiting for packet dumper to finish... 1 (prev_count=4636189, count=4636686) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Thu Sep 12 13:07:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(480)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(479)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(481)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(479): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(480): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(481): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Thu Sep 12 13:07:27 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4636026) Waiting for packet dumper to finish... 1 (prev_count=4636026, count=4636523) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Thu Sep 12 13:07:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL(483)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(483)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(482)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(484)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(482): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_deact_req-RSL(483): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(484): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_deact_req finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Thu Sep 12 13:07:41 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5586618) Waiting for packet dumper to finish... 1 (prev_count=5586618, count=5587115) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Thu Sep 12 13:07:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(486)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(487)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(485): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(486): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(487): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Thu Sep 12 13:07:50 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3673063) Waiting for packet dumper to finish... 1 (prev_count=3673063, count=3673688) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Thu Sep 12 13:07:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(489)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(490)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(488)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(488): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ver_si1-RSL(489): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(490): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Thu Sep 12 13:07:56 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1898653) Waiting for packet dumper to finish... 1 (prev_count=1898653, count=1899150) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Thu Sep 12 13:07:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(492)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(491)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(493)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(491): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ver_si3-RSL(492): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(493): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Thu Sep 12 13:08:01 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1895575) Waiting for packet dumper to finish... 1 (prev_count=1895575, count=1896072) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Thu Sep 12 13:08:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_ver_si13-RSL(495)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(496)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(494)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(494): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ver_si13-RSL(495): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(496): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Thu Sep 12 13:08:07 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1893089) Waiting for packet dumper to finish... 1 (prev_count=1893089, count=1893586) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Thu Sep 12 13:08:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(498)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(499)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(497)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(497): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(498): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(499): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Thu Sep 12 13:08:16 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4588641) Waiting for packet dumper to finish... 1 (prev_count=4588641, count=4589266) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Thu Sep 12 13:08:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(501)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(500)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(502)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(500): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(501): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(502): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Thu Sep 12 13:08:25 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5223203) Waiting for packet dumper to finish... 1 (prev_count=5223203, count=5223700) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Thu Sep 12 13:08:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_bts-RSL(504)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(503)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(505)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(503): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(504): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(505): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Thu Sep 12 13:08:39 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9386835) Waiting for packet dumper to finish... 1 (prev_count=9386835, count=9392100) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Thu Sep 12 13:08:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(507)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(508)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(506)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(506): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(507): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(508): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Thu Sep 12 13:08:52 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9549654) Waiting for packet dumper to finish... 1 (prev_count=9549654, count=9550151) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Thu Sep 12 13:08:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_ts-RSL(510)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL-IPA(509)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(511)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(509): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(510): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(511): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Thu Sep 12 13:09:06 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9537715) Waiting for packet dumper to finish... 1 (prev_count=9537715, count=9538212) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Thu Sep 12 13:09:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(513)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(514)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(512)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(512): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(513): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(514): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Thu Sep 12 13:09:17 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6261580) Waiting for packet dumper to finish... 1 (prev_count=6261580, count=6262077) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Thu Sep 12 13:09:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL(516)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 116, qta := 0, fn := 792, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 121, qta := 0, fn := 818, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 124, qta := 0, fn := 844, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 122, qta := 0, fn := 870, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 119, qta := 0, fn := 896, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 114, qta := 0, fn := 922, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 123, qta := 0, fn := 948, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 117, qta := 0, fn := 974, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 112, qta := 0, fn := 1000, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 118, qta := 0, fn := 1026, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 118, qta := 0, fn := 1052, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 121, qta := 0, fn := 1078, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 116, qta := 0, fn := 1104, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 115, qta := 0, fn := 1130, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 116, qta := 0, fn := 1156, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending an Access Burst towards the L1CTL interface MTC@3d11daa8b17c: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 119, qta := 0, fn := 1182, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Sending a PTCCH/D block towards the PCU interface: 'D886A7FB7FA9689DDDD8313BB1070EF1FAD4AD30D3451F'O MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_ptcch-RSL(516)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(517)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(515)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(515): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ptcch-RSL(516): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(517): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_ptcch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass'. Thu Sep 12 13:09:28 UTC 2024 ====== BTS_Tests.TC_pcu_ptcch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7177297) Waiting for packet dumper to finish... 1 (prev_count=7177297, count=7177794) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Thu Sep 12 13:09:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(519)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(520)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(518)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(518): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_agch-RSL(519): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(520): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Thu Sep 12 13:09:36 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4584546) Waiting for packet dumper to finish... 1 (prev_count=4584546, count=4585043) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Thu Sep 12 13:09:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(522)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(523)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(521)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(521): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_pch-RSL(522): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(523): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Thu Sep 12 13:09:45 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4594449) Waiting for packet dumper to finish... 1 (prev_count=4594449, count=4594946) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Thu Sep 12 13:09:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: IMM.ASS was sent on PCH MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(525)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(526)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(524): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(525): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(526): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Thu Sep 12 13:09:51 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2135342) Waiting for packet dumper to finish... 1 (prev_count=2135342, count=2135839) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Thu Sep 12 13:09:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: IMM.ASS was sent on AGCH MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(528)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(529)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(527): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(528): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(529): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Thu Sep 12 13:09:57 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2070406) Waiting for packet dumper to finish... 1 (prev_count=2070406, count=2070903) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Thu Sep 12 13:10:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL(531)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL(531)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL(531)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(531)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(530)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(532)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(530): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_rach_content-RSL(531): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(532): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_rach_content finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Thu Sep 12 13:10:12 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11369284) Waiting for packet dumper to finish... 1 (prev_count=11369284, count=11369781) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Thu Sep 12 13:10:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(534)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(535)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(533)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(533): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_ext_rach_content-RSL(534): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(535): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Thu Sep 12 13:10:27 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11069804) Waiting for packet dumper to finish... 1 (prev_count=11069804, count=11070429) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Thu Sep 12 13:10:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=-256 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=-256) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=-128 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=-128) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=0 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=0) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=128 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=128) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=256 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=256) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=384 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=384) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=512 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=512) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=640 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=640) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=768 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=768) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=896 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=896) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=1024 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=1024) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=1152 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=1152) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Testing C/I=1280 cB MTC@3d11daa8b17c: Rx PCUIF_DATA.ind (lqual_cb=1280) MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_ind_lqual_cb-RSL(537)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(538)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(536): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(537): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(538): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass'. Thu Sep 12 13:10:36 UTC 2024 ====== BTS_Tests.TC_pcu_data_ind_lqual_cb pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5067656) Waiting for packet dumper to finish... 1 (prev_count=5067656, count=5068281) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Thu Sep 12 13:10:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(541)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL(540)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(539)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(539): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(540): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(541): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Thu Sep 12 13:10:42 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2142738) Waiting for packet dumper to finish... 1 (prev_count=2142738, count=2143235) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Thu Sep 12 13:10:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL(543)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL(543)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL(543)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: 1036 fn expired with 240 PCU_TIME.ind MTC@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Number of TDMA Frames (1036) not matching (1063 .. 1103)", new component reason: "Number of TDMA Frames (1036) not matching (1063 .. 1103)" TC_pcu_time_ind-RSL(543)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(542)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: fail reason: "Number of TDMA Frames (1036) not matching (1063 .. 1103)" MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(542): none (fail -> fail) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_time_ind-RSL(543): none (fail -> fail) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (fail -> fail) MTC@3d11daa8b17c: Test case TC_pcu_time_ind finished. Verdict: fail reason: Number of TDMA Frames (1036) not matching (1063 .. 1103) MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind fail'. Thu Sep 12 13:10:55 UTC 2024 ------ BTS_Tests.TC_pcu_time_ind fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5655755) Waiting for packet dumper to finish... 1 (prev_count=5655755, count=5656252) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Thu Sep 12 13:10:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(546)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(546)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL(546)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: 1048 fn expired with num_rts_pdtch=243, num_rts_ptcch=10 MTC@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Number of TDMA Frames (1048) not matching (1063 .. 1103)", new component reason: "Number of TDMA Frames (1048) not matching (1063 .. 1103)" TC_pcu_rts_req-RSL(546)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(545)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(547)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: fail reason: "Number of TDMA Frames (1048) not matching (1063 .. 1103)" MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(545): none (fail -> fail) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_rts_req-RSL(546): none (fail -> fail) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (fail -> fail) MTC@3d11daa8b17c: Test case TC_pcu_rts_req finished. Verdict: fail reason: Number of TDMA Frames (1048) not matching (1063 .. 1103) MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req fail'. Thu Sep 12 13:11:09 UTC 2024 ------ BTS_Tests.TC_pcu_rts_req fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5632569) Waiting for packet dumper to finish... 1 (prev_count=5632569, count=5637742) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Thu Sep 12 13:11:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(550)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(551)@3d11daa8b17c: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@3d11daa8b17c: Test Component 551 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(551)@3d11daa8b17c: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(549)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(548)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(548): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_oml_alert-RSL(549): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(550): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(551): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@3d11daa8b17c: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Thu Sep 12 13:11:18 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3297847) Waiting for packet dumper to finish... 1 (prev_count=3297847, count=3298344) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Thu Sep 12 13:11:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(555)@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_rr_suspend(555)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(555)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(555)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@3d11daa8b17c: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(553)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(554)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(552)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(552): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_rr_suspend-RSL(553): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(554): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_rr_suspend(555): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Thu Sep 12 13:11:24 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2282930) Waiting for packet dumper to finish... 1 (prev_count=2282930, count=2288540) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Thu Sep 12 13:11:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: BTS has accept()ed connection MTC@3d11daa8b17c: BTS has close()d connection MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(557)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(558)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(556)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(556): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(557): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(558): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Thu Sep 12 13:11:30 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1888516) Waiting for packet dumper to finish... 1 (prev_count=1888516, count=1893689) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Thu Sep 12 13:11:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(560)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(561)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(559)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(559): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_reconnect-RSL(560): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(561): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Thu Sep 12 13:11:37 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2800318) Waiting for packet dumper to finish... 1 (prev_count=2800318, count=2800815) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Thu Sep 12 13:11:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(564)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(563): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(564): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Thu Sep 12 13:11:46 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4307967) Waiting for packet dumper to finish... 1 (prev_count=4307967, count=4308464) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Thu Sep 12 13:11:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: IPA: Closed TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Lost IPA connection! (conn_id=5) IPA-CTRL-CLI-IPA(567)@3d11daa8b17c: IPA: Closed TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(567)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:6344 : Timeout waiting for SYSTEM_INFORMATION_TYPE_4 (28)"", new component reason: ""BTS_Tests.ttcn:6344 : Timeout waiting for SYSTEM_INFORMATION_TYPE_4 (28)"" MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6344 TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: fail reason: ""BTS_Tests.ttcn:6344 : Timeout waiting for SYSTEM_INFORMATION_TYPE_4 (28)"" MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565): none (fail -> fail) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(566): none (fail -> fail) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(567): none (fail -> fail) MTC@3d11daa8b17c: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: fail reason: "BTS_Tests.ttcn:6344 : Timeout waiting for SYSTEM_INFORMATION_TYPE_4 (28)" MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs fail'. Thu Sep 12 13:11:58 UTC 2024 ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4135844) Waiting for packet dumper to finish... 1 (prev_count=4135844, count=4141105) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Thu Sep 12 13:12:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(569)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(570)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(568): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(569): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(570): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Thu Sep 12 13:12:08 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4303802) Waiting for packet dumper to finish... 1 (prev_count=4303802, count=4304299) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Thu Sep 12 13:12:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(572)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(573)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(571): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(572): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(573): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Thu Sep 12 13:12:16 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3678435) Waiting for packet dumper to finish... 1 (prev_count=3678435, count=3678932) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Thu Sep 12 13:12:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(576)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(575): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(576): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Thu Sep 12 13:12:25 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5140236) Waiting for packet dumper to finish... 1 (prev_count=5140236, count=5140733) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Thu Sep 12 13:12:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@3d11daa8b17c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(578): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Thu Sep 12 13:12:34 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5330054) Waiting for packet dumper to finish... 1 (prev_count=5330054, count=5335227) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Thu Sep 12 13:12:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(581)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(582)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(580)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(580): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(581): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(582): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Thu Sep 12 13:12:42 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2788512) Waiting for packet dumper to finish... 1 (prev_count=2788512, count=2789009) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Thu Sep 12 13:12:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(586)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(586)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(586)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(586)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(584)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(585)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(583): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(584): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(585): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(586): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Thu Sep 12 13:12:51 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3305305) Waiting for packet dumper to finish... 1 (prev_count=3305305, count=3305802) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Thu Sep 12 13:12:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(590)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(590)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(590)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(590)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(588)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(589)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(587): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(588): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(589): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_double_act(590): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Thu Sep 12 13:12:56 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1925406) Waiting for packet dumper to finish... 1 (prev_count=1925406, count=1925903) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Thu Sep 12 13:13:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact(594)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(594)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact-RSL(592)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact(594)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(593)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(591): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(592): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(593): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(594): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Thu Sep 12 13:13:05 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3295014) Waiting for packet dumper to finish... 1 (prev_count=3295014, count=3295639) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Thu Sep 12 13:13:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack(598)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(598)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(598)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(598)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(597)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(597): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(598): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Thu Sep 12 13:13:11 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1943432) Waiting for packet dumper to finish... 1 (prev_count=1943432, count=1943929) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Thu Sep 12 13:13:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Checking timeslot #2 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #1 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #3 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #0 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #4 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Checking timeslot #6 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(600)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(601)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(599)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(599): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(600): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(601): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Thu Sep 12 13:13:16 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1903292) Waiting for packet dumper to finish... 1 (prev_count=1903292, count=1903789) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Thu Sep 12 13:13:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv4-RSL(603)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(604)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(602): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(603): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(604): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Thu Sep 12 13:13:22 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1901173) Waiting for packet dumper to finish... 1 (prev_count=1901173, count=1901670) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Thu Sep 12 13:13:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(606)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(607)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(605): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(606): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(607): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Thu Sep 12 13:13:28 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1902704) Waiting for packet dumper to finish... 1 (prev_count=1902704, count=1903201) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Thu Sep 12 13:13:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(610)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(608)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL(609)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(608): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(609): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Thu Sep 12 13:13:33 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1925482) Waiting for packet dumper to finish... 1 (prev_count=1925482, count=1926416) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Thu Sep 12 13:13:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Warning: Re-starting timer T, which is already active (running or expired). MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(612)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(613)@3d11daa8b17c: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(611)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(611): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_pcu_interf_ind-RSL(612): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(613): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Thu Sep 12 13:13:44 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5809247) Waiting for packet dumper to finish... 1 (prev_count=5809247, count=5814857) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Thu Sep 12 13:13:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(617)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(617)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(615)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(616)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(615): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(616): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(617): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Thu Sep 12 13:13:50 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1935185) Waiting for packet dumper to finish... 1 (prev_count=1935185, count=1935682) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Thu Sep 12 13:13:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(621)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(621)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(621)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchf_act-RSL(619)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(620)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(619): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(620): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(621): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Thu Sep 12 13:13:55 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1927233) Waiting for packet dumper to finish... 1 (prev_count=1927233, count=1927730) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Thu Sep 12 13:13:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(625)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(625)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(625)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(625)@3d11daa8b17c: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(624)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL(623)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(623): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(624): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(625): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Thu Sep 12 13:14:01 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1945709) Waiting for packet dumper to finish... 1 (prev_count=1945709, count=1946206) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Thu Sep 12 13:14:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(628)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(627): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(628): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(629): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Thu Sep 12 13:14:10 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3360458) Waiting for packet dumper to finish... 1 (prev_count=3360458, count=3360955) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Thu Sep 12 13:14:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(633)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(634)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(633)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(633)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(634)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(634)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(633)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(634)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(632)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(631): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(632): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(633): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(634): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Thu Sep 12 13:14:17 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2772992) Waiting for packet dumper to finish... 1 (prev_count=2772992, count=2773489) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Thu Sep 12 13:14:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(638)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(645)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(638)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(644)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(644)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(643)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@3d11daa8b17c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(637)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(637): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(638): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(639): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(640): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(641): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(642): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(643): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(644): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(645): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Thu Sep 12 13:14:24 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2846098) Waiting for packet dumper to finish... 1 (prev_count=2846098, count=2851708) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Thu Sep 12 13:14:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act(649)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(649)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(649)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(649)@3d11daa8b17c: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(648)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL(647)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(647): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(648): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(649): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Thu Sep 12 13:14:30 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1926411) Waiting for packet dumper to finish... 1 (prev_count=1926411, count=1926908) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Thu Sep 12 13:14:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@3d11daa8b17c: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@3d11daa8b17c: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(652)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@3d11daa8b17c: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(652): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Thu Sep 12 13:14:36 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1934542) Waiting for packet dumper to finish... 1 (prev_count=1934542, count=1935039) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Thu Sep 12 13:14:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_est_ind-RSL(655)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL(655)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rll_est_ind-RSL(655)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(657)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(657)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(658)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(658)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(659)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(659)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(659)@3d11daa8b17c: Final verdict of PTC: pass TC_rll_est_ind(660)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(660)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(661)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(661)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(662)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(662)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(663)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(663)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(664)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(664)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(665)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(665)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(666)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(666)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(667)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(667)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(668)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(668)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(669)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(669)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(670)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(670)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(671)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(671)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(671)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(671)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(672)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(672)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(672)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(672)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(673)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(673)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(673)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(673)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(674)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(674)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(674)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(674)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(675)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(675)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(675)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(675)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(676)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(676)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(676)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(676)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(677)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(677)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(677)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(677)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(678)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(678)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(678)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(678)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(679)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(679)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(679)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(679)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(680)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(680)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(680)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(680)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(681)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(681)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(681)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(681)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(682)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(682)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(682)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(682)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(683)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(683)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(683)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(683)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(684)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_ind(684)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(684)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(684)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_ind-RSL(655)@3d11daa8b17c: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(654)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(656)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind-RSL-IPA(654): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind-RSL(655): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(656): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(657): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(658): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(659): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(660): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(661): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(662): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(663): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(664): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(665): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(666): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(667): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(668): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(669): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(670): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(671): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(672): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(673): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(674): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(675): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(676): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(677): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(678): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(679): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(680): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(681): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(682): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(683): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_ind(684): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_est_ind finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass'. Thu Sep 12 13:15:23 UTC 2024 ====== BTS_Tests.TC_rll_est_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=43776927) Waiting for packet dumper to finish... 1 (prev_count=43776927, count=43777861) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Thu Sep 12 13:15:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(688)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(688)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(688)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(688)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(688)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_DCCH_3(688)@3d11daa8b17c: Final verdict of PTC: pass TC_rll_est_req_DCCH_3(689)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(689)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(689)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(689)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(689)@3d11daa8b17c: Final verdict of PTC: pass TC_rll_est_req_DCCH_3(690)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(690)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(690)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(690)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_DCCH_3(690)@3d11daa8b17c: Final verdict of PTC: pass TC_rll_est_req_DCCH_3(691)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(691)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(691)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(691)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(691)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(686)@3d11daa8b17c: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(685)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(687)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(685): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(686): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(687): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_DCCH_3(688): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_DCCH_3(689): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_DCCH_3(690): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_DCCH_3(691): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Thu Sep 12 13:15:35 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3672148) Waiting for packet dumper to finish... 1 (prev_count=3672148, count=3672645) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Thu Sep 12 13:15:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(695)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(696)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(697)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(697)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(697)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(697)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(697)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(697)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_ACCH_3(698)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(698)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(698)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(698)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL-IPA(692)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(694)@3d11daa8b17c: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL(693)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(692): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(693): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(694): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_ACCH_3(695): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_ACCH_3(696): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_ACCH_3(697): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_est_req_ACCH_3(698): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Thu Sep 12 13:15:42 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3645918) Waiting for packet dumper to finish... 1 (prev_count=3645918, count=3646415) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Thu Sep 12 13:15:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(704)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(704)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(704)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_0(705)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(705)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(705)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(705)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL(700)@3d11daa8b17c: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(701)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(699): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(700): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(701): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_0(702): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_0(703): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_0(704): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_0(705): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Thu Sep 12 13:15:49 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3118326) Waiting for packet dumper to finish... 1 (prev_count=3118326, count=3123627) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Thu Sep 12 13:15:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(711)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(711)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(711)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_3(712)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(712)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(712)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(712)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(707)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(708)@3d11daa8b17c: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(706): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(707): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(708): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_3(709): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_3(710): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_3(711): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_DCCH_3(712): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Thu Sep 12 13:15:56 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3120569) Waiting for packet dumper to finish... 1 (prev_count=3120569, count=3121066) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Thu Sep 12 13:15:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_0-RSL(714)@3d11daa8b17c: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(715)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(713): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(714): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(715): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_0(716): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_0(717): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_0(718): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_0(719): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass'. Thu Sep 12 13:16:09 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_0 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9333583) Waiting for packet dumper to finish... 1 (prev_count=9333583, count=9338756) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Thu Sep 12 13:16:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(724)@3d11daa8b17c: Final verdict of PTC: pass TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 IPA-CTRL-CLI-IPA(722)@3d11daa8b17c: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL(721)@3d11daa8b17c: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(720): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(721): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(722): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_3(723): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_3(724): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_3(725): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_ind_ACCH_3(726): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass'. Thu Sep 12 13:16:22 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9332894) Waiting for packet dumper to finish... 1 (prev_count=9332894, count=9333391) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Thu Sep 12 13:16:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL(728)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(728)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL(728)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL(728)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL(728)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL(728)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rll_rel_req-RSL(728)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(730)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(730)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(730)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(731)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(732)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(732)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(733)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(734)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(734)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(734)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(735)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(736)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(736)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(737)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(738)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(738)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(738)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(738)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(739)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(740)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(740)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(740)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(741)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(741)@3d11daa8b17c: Final verdict of PTC: pass TC_rll_rel_req(742)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(742)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(742)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(742)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(743)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(744)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(744)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(744)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(745)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_req-RSL(728)@3d11daa8b17c: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(727)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(729)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req-RSL-IPA(727): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req-RSL(728): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(729): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(730): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(731): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(732): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(733): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(734): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(735): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(736): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(737): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(738): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(739): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(740): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(741): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(742): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(743): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(744): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_rel_req(745): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_rel_req finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass'. Thu Sep 12 13:16:47 UTC 2024 ====== BTS_Tests.TC_rll_rel_req pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20893066) Waiting for packet dumper to finish... 1 (prev_count=20893066, count=20893563) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Thu Sep 12 13:16:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '3780F5A6F2BEEACE69ACEA848C6D30'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(749)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(749)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(749)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3780F5A6F2BEEACE69ACEA848C6D30'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(749)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(749)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(749)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '3780F5A6F2BEEACE69ACEA848C6D30'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(750)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(750)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(750)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3780F5A6F2BEEACE69ACEA848C6D30'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(750)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(750)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(750)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '3780F5A6F2BEEACE69ACEA848C6D30'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(751)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(751)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(751)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3780F5A6F2BEEACE69ACEA848C6D30'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(751)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(751)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(751)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '3780F5A6F2BEEACE69ACEA848C6D30'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(752)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(752)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3780F5A6F2BEEACE69ACEA848C6D30'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(752)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(752)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(752)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '3780F5A6F2BEEACE69ACEA848C6D30'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(753)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(753)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3780F5A6F2BEEACE69ACEA848C6D30'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(753)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(753)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(753)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '3780F5A6F2BEEACE69ACEA848C6D30'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(754)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(754)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3780F5A6F2BEEACE69ACEA848C6D30'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(754)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(754)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(754)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '3780F5A6F2BEEACE69ACEA848C6D30'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(755)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(755)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3780F5A6F2BEEACE69ACEA848C6D30'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(755)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(755)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(755)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '3780F5A6F2BEEACE69ACEA848C6D30'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(756)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(756)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3780F5A6F2BEEACE69ACEA848C6D30'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(756)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(756)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(756)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(747)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(748)@3d11daa8b17c: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(746)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(746): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(747): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(748): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH(749): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH(750): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH(751): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH(752): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH(753): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH(754): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH(755): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_DCCH(756): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Thu Sep 12 13:16:54 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3265446) Waiting for packet dumper to finish... 1 (prev_count=3265446, count=3271056) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Thu Sep 12 13:16:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '007228157EA3D4DE410DAE31D4170A8EE8D902'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '007228157EA3D4DE410DAE31D4170A8EE8D902'O } } TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(760)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '007228157EA3D4DE410DAE31D4170A8EE8D902'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '007228157EA3D4DE410DAE31D4170A8EE8D902'O } } TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(761)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '007228157EA3D4DE410DAE31D4170A8EE8D902'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '007228157EA3D4DE410DAE31D4170A8EE8D902'O } } TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(762)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '007228157EA3D4DE410DAE31D4170A8EE8D902'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '007228157EA3D4DE410DAE31D4170A8EE8D902'O } } TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(763)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '007228157EA3D4DE410DAE31D4170A8EE8D902'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(764)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(764)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(764)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '007228157EA3D4DE410DAE31D4170A8EE8D902'O } } TC_rll_unit_data_req_ACCH(764)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(764)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(764)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '007228157EA3D4DE410DAE31D4170A8EE8D902'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(765)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(765)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(765)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(765)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '007228157EA3D4DE410DAE31D4170A8EE8D902'O } } TC_rll_unit_data_req_ACCH(765)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(765)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(765)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '007228157EA3D4DE410DAE31D4170A8EE8D902'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(766)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(766)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(766)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(766)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '007228157EA3D4DE410DAE31D4170A8EE8D902'O } } TC_rll_unit_data_req_ACCH(766)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(766)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(766)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '007228157EA3D4DE410DAE31D4170A8EE8D902'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(767)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(767)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(767)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(767)@3d11daa8b17c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '007228157EA3D4DE410DAE31D4170A8EE8D902'O } } TC_rll_unit_data_req_ACCH(767)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(767)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(767)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(758)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(759)@3d11daa8b17c: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(757)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(757): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(758): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(759): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH(760): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH(761): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH(762): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH(763): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH(764): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH(765): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH(766): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_req_ACCH(767): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Thu Sep 12 13:17:03 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5524655) Waiting for packet dumper to finish... 1 (prev_count=5524655, count=5525280) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Thu Sep 12 13:17:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '913E8805BFAC10F868CE371C548FCAD181F45A11'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(771)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(771)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(771)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(771)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '913E8805BFAC10F868CE371C548FCAD181F45A11'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(772)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(772)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(772)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(772)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '913E8805BFAC10F868CE371C548FCAD181F45A11'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(773)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(773)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(773)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(773)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '913E8805BFAC10F868CE371C548FCAD181F45A11'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(774)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(774)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(774)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(774)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '913E8805BFAC10F868CE371C548FCAD181F45A11'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(775)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(775)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(775)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(775)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '913E8805BFAC10F868CE371C548FCAD181F45A11'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(776)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(776)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(776)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '913E8805BFAC10F868CE371C548FCAD181F45A11'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(776)@3d11daa8b17c: Final verdict of PTC: pass TC_rll_unit_data_ind_DCCH(777)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(777)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(777)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(777)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '913E8805BFAC10F868CE371C548FCAD181F45A11'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(778)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(778)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(778)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(778)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(769)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(770)@3d11daa8b17c: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(768): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(769): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(770): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(771): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(772): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(773): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(774): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(775): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(776): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(777): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(778): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Thu Sep 12 13:17:10 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3109711) Waiting for packet dumper to finish... 1 (prev_count=3109711, count=3110208) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Thu Sep 12 13:17:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'E4CA0129B83E3890B94FCBF950BB6E371FDC'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(782)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(782)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(782)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(782)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'E4CA0129B83E3890B94FCBF950BB6E371FDC'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(783)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(783)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(783)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(783)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'E4CA0129B83E3890B94FCBF950BB6E371FDC'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(784)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(784)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(784)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(784)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'E4CA0129B83E3890B94FCBF950BB6E371FDC'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(785)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(785)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(785)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(785)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'E4CA0129B83E3890B94FCBF950BB6E371FDC'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(786)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(786)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(786)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(786)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'E4CA0129B83E3890B94FCBF950BB6E371FDC'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(787)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(787)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(787)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(787)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'E4CA0129B83E3890B94FCBF950BB6E371FDC'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(788)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(788)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(788)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(788)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'E4CA0129B83E3890B94FCBF950BB6E371FDC'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(789)@3d11daa8b17c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(789)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(789)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(789)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(780)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(781)@3d11daa8b17c: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(779): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(780): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(781): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(782): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(783): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(784): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(785): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(786): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(787): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(788): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(789): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Thu Sep 12 13:17:23 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9329489) Waiting for packet dumper to finish... 1 (prev_count=9329489, count=9329986) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Thu Sep 12 13:17:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_chan_act_a51-RSL(791)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(791)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL(791)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(791)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_chan_act_a51-RSL(791)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(791)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(793)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a51(793)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(793)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a51(793)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a51(794)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a51(794)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a51(794)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(795)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a51(795)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a51(795)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a51(796)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a51(796)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a51(796)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(791)@3d11daa8b17c: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(790)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(792)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a51-RSL-IPA(790): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a51-RSL(791): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(792): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a51(793): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a51(794): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a51(795): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a51(796): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_chan_act_a51 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Thu Sep 12 13:17:30 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2681305) Waiting for packet dumper to finish... 1 (prev_count=2681305, count=2686478) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Thu Sep 12 13:17:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL(798)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL(798)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL(798)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(798)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL(798)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL(798)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_chan_act_a52-RSL(798)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(798)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL(798)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(798)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL(798)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_chan_act_a52-RSL(798)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(798)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(800)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a52(800)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(800)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a52(800)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a52(801)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a52(801)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a52(801)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(802)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a52(802)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a52(802)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a52(803)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a52(803)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a52(803)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(798)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(799)@3d11daa8b17c: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(797)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a52-RSL-IPA(797): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a52-RSL(798): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(799): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a52(800): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a52(801): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a52(802): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a52(803): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_chan_act_a52 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Thu Sep 12 13:17:36 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2685300) Waiting for packet dumper to finish... 1 (prev_count=2685300, count=2685797) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Thu Sep 12 13:17:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(805)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_chan_act_a53-RSL(805)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(805)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(805)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL(805)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(805)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_chan_act_a53-RSL(805)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(805)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(807)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a53(807)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(807)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a53(807)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a53(808)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a53(808)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a53(808)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(809)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a53(809)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a53(809)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a53(810)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a53(810)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a53(810)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(805)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(806)@3d11daa8b17c: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(804)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a53-RSL-IPA(804): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a53-RSL(805): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(806): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a53(807): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a53(808): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a53(809): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a53(810): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_chan_act_a53 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Thu Sep 12 13:17:42 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2680848) Waiting for packet dumper to finish... 1 (prev_count=2680848, count=2681345) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Thu Sep 12 13:17:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL(812)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(812)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL(812)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(812)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(812)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(814)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a54(814)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(814)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a54(814)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a54(815)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a54(815)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(815)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a54(815)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(816)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a54(816)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a54(816)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a54(817)@3d11daa8b17c: setverdict(pass): none -> pass TC_chan_act_a54(817)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'E6C9F15A2C957DC0201AF09CAA375D9BDFC2FCE6'O, padding := ''O } } TC_chan_act_a54(817)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(812)@3d11daa8b17c: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(811)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(813)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a54-RSL-IPA(811): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a54-RSL(812): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(813): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a54(814): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a54(815): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a54(816): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_chan_act_a54(817): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_chan_act_a54 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Thu Sep 12 13:17:49 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2669446) Waiting for packet dumper to finish... 1 (prev_count=2669446, count=2674619) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Thu Sep 12 13:17:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(821)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(821)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a51(822)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(822)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(823)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a51(823)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(823)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(823)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(823)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(823)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(823)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(823)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(823)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a51(824)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a51(824)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(824)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(824)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(824)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(824)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(824)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(824)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51(824)@3d11daa8b17c: Final verdict of PTC: pass TC_encr_cmd_a51-RSL(819)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(820)@3d11daa8b17c: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(818)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(818): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a51-RSL(819): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(820): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a51(821): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a51(822): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a51(823): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a51(824): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Thu Sep 12 13:17:57 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4850119) Waiting for packet dumper to finish... 1 (prev_count=4850119, count=4850616) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Thu Sep 12 13:18:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(828)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(828)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a52(829)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(829)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(830)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a52(830)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(830)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(830)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(830)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(830)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(830)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(830)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(830)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a52(831)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a52(831)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(831)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(831)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(831)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(831)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(831)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(831)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(826)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(827)@3d11daa8b17c: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(825)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(825): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a52-RSL(826): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(827): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a52(828): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a52(829): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a52(830): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a52(831): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Thu Sep 12 13:18:06 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4859801) Waiting for packet dumper to finish... 1 (prev_count=4859801, count=4860298) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Thu Sep 12 13:18:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 transceiver(s) connected TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(835)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(835)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a53(836)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(836)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(837)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a53(837)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(837)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(837)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(837)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(837)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(837)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(837)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(837)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a53(838)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a53(838)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(838)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(838)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(838)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(838)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(838)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(838)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(833)@3d11daa8b17c: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(832)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(834)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(832): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a53-RSL(833): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(834): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a53(835): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a53(836): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a53(837): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a53(838): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Thu Sep 12 13:18:15 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4854291) Waiting for packet dumper to finish... 1 (prev_count=4854291, count=4854916) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Thu Sep 12 13:18:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(842)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(842)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a54(842)@3d11daa8b17c: Final verdict of PTC: pass TC_encr_cmd_a54(843)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(843)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(844)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a54(844)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(844)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(844)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(844)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(844)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(844)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(844)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(844)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a54(845)@3d11daa8b17c: setverdict(pass): none -> pass TC_encr_cmd_a54(845)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(845)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(845)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(845)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(845)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(845)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '9BDFC2FCE6425ECA02DB64DCB2AC93'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(845)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(840)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(841)@3d11daa8b17c: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(839)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(839): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a54-RSL(840): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(841): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a54(842): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a54(843): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a54(844): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_encr_cmd_a54(845): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Thu Sep 12 13:18:23 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4847389) Waiting for packet dumper to finish... 1 (prev_count=4847389, count=4848323) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Thu Sep 12 13:18:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(849)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(847)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(848)@3d11daa8b17c: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(846)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(846): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(847): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(848): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_mdisc(849): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Thu Sep 12 13:18:29 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1908256) Waiting for packet dumper to finish... 1 (prev_count=1908256, count=1913429) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Thu Sep 12 13:18:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 1/4 transceiver(s) connected MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(853)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(854)@3d11daa8b17c: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(854)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(851)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(852)@3d11daa8b17c: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(850)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(850): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(851): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(852): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_msg_type(853): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_msg_type(854): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Thu Sep 12 13:18:35 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1936066) Waiting for packet dumper to finish... 1 (prev_count=1936066, count=1936563) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Thu Sep 12 13:18:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(858)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL(856)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(857)@3d11daa8b17c: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL-IPA(855)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(855): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(856): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(857): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_err_rep_wrong_sequence(858): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Thu Sep 12 13:18:40 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1903595) Waiting for packet dumper to finish... 1 (prev_count=1903595, count=1904092) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Thu Sep 12 13:18:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_lapdm_selftest started. MTC@3d11daa8b17c: "ui_s0_empty": matched MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "ui_s3_empty": matched MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "sabm_s0_empty": matched MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "sabm_s0_l3": matched MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "rr_s0_7": matched MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "I/0/0": matched MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: "I/7/0": matched MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: No PTCs were created. MTC@3d11daa8b17c: Test case TC_lapdm_selftest finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Thu Sep 12 13:18:45 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=992971) Waiting for packet dumper to finish... 1 (prev_count=992971, count=1100784) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Thu Sep 12 13:18:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(862)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(862)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(863)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(863)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(864)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(864)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(865)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(865)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(866)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(866)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(866)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(866)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(867)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(867)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(867)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(867)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(868)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(868)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(868)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(868)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(869)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(869)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(869)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(869)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(870)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(870)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(870)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(870)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(871)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(871)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(871)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(871)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(872)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(872)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(872)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(872)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(873)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(873)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(873)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(873)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(874)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(874)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(874)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(874)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(875)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(875)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(875)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(875)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(876)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(876)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(876)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(876)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(877)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(877)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(877)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(877)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(878)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(878)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(878)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(878)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(879)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(879)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(879)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(879)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(880)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(880)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(880)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(880)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(881)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(881)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(881)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(881)@3d11daa8b17c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(860)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(861)@3d11daa8b17c: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(859)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(859): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(860): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(861): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(862): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(863): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(864): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(865): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(866): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(867): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(868): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(869): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(870): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(871): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(872): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(873): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(874): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(875): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(876): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(877): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(878): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(879): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(880): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame(881): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Thu Sep 12 13:19:08 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17660651) Waiting for packet dumper to finish... 1 (prev_count=17660651, count=17661148) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Thu Sep 12 13:19:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: Established a new IPA connection (conn_id=5) MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(885)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(885)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(885)@3d11daa8b17c: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(886)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(886)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(886)@3d11daa8b17c: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(887)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(887)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(887)@3d11daa8b17c: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(888)@3d11daa8b17c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(888)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" IPA-CTRL-CLI-IPA(884)@3d11daa8b17c: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@3d11daa8b17c: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@3d11daa8b17c: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd(888)@3d11daa8b17c: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(883): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(884): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(885): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(886): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(887): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@3d11daa8b17c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(888): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@3d11daa8b17c: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Thu Sep 12 13:19:15 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2834295) Waiting for packet dumper to finish... 1 (prev_count=2834295, count=2834792) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Thu Sep 12 13:19:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chopped_ipa_ping started. 889@3d11daa8b17c: sending byte '00'O 889@3d11daa8b17c: sending byte '01'O 889@3d11daa8b17c: sending byte 'FE'O 889@3d11daa8b17c: sending byte '00'O 889@3d11daa8b17c: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 889@3d11daa8b17c: received pong from "172.18.37.20" port 46021: '0001FE01'O 889@3d11daa8b17c: setverdict(pass): none -> pass 889@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC with component reference 889: pass (none -> pass) MTC@3d11daa8b17c: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Thu Sep 12 13:19:44 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11853025) Waiting for packet dumper to finish... 1 (prev_count=11853025, count=11858635) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Thu Sep 12 13:19:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_chopped_ipa_payload started. 890@3d11daa8b17c: sending byte '04'O 890@3d11daa8b17c: sending byte '01'O 890@3d11daa8b17c: sending byte '08'O ("\b") 890@3d11daa8b17c: sending byte '01'O 890@3d11daa8b17c: sending byte '07'O ("\a") 890@3d11daa8b17c: sending byte '01'O 890@3d11daa8b17c: sending byte '02'O 890@3d11daa8b17c: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 890@3d11daa8b17c: received IPA message from "172.18.37.20" port 33657: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A32353A313400000202000001FE06'O 890@3d11daa8b17c: setverdict(pass): none -> pass 890@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC with component reference 890: pass (none -> pass) MTC@3d11daa8b17c: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Thu Sep 12 13:20:31 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20331431) Waiting for packet dumper to finish... 1 (prev_count=20331431, count=20331928) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Thu Sep 12 13:20:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@3d11daa8b17c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@3d11daa8b17c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@3d11daa8b17c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(897)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(892)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(893)@3d11daa8b17c: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(891)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(891): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(892): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(893): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_constant(894): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_constant(895): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_constant(896): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_constant(897): pass (fail -> fail) MTC@3d11daa8b17c: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Thu Sep 12 13:20:52 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17127943) Waiting for packet dumper to finish... 1 (prev_count=17127943, count=17128440) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Thu Sep 12 13:20:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@3d11daa8b17c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@3d11daa8b17c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(903)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(904)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(899)@3d11daa8b17c: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(900)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(899): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(900): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(901): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(902): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(903): pass (fail -> fail) MTC@3d11daa8b17c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(904): pass (fail -> fail) MTC@3d11daa8b17c: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Thu Sep 12 13:21:28 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32063667) Waiting for packet dumper to finish... 1 (prev_count=32063667, count=32064164) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Thu Sep 12 13:21:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(908)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(908)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(908)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(908)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(908)@3d11daa8b17c: Final verdict of PTC: pass TC_speech_no_rtp_tchf(909)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(909)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(909)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@3d11daa8b17c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchf(909)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchf(909)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchf(909)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(909)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(909)@3d11daa8b17c: Final verdict of PTC: pass TC_speech_no_rtp_tchf(910)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(910)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(910)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1490, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1495, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1499, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1503, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1508, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(910)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(910)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(906)@3d11daa8b17c: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(905)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(907)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(905): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(906): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(907): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchf(908): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchf(909): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchf(910): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_speech_no_rtp_tchf finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass'. Thu Sep 12 13:21:40 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9386598) Waiting for packet dumper to finish... 1 (prev_count=9386598, count=9387095) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Thu Sep 12 13:21:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(914)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(914)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(914)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(914)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(914)@3d11daa8b17c: Final verdict of PTC: pass TC_speech_no_rtp_tchh(915)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(915)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(915)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@3d11daa8b17c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(915)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(915)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(912)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(913)@3d11daa8b17c: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(911)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(911): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(912): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(913): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchh(914): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_no_rtp_tchh(915): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_speech_no_rtp_tchh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass'. Thu Sep 12 13:21:50 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6607887) Waiting for packet dumper to finish... 1 (prev_count=6607887, count=6608384) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Thu Sep 12 13:21:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(919)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(919)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_rtp_tchf(919)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(919)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@3d11daa8b17c: TCH received (len=33): 'D0E6C9F15A2C95FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@3d11daa8b17c: TCH received (len=33): 'D0E6C9F15A2C95FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@3d11daa8b17c: TCH received (len=33): 'D0E6C9F15A2C95FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@3d11daa8b17c: Final verdict of PTC: pass TC_speech_rtp_tchf(921)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(921)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_rtp_tchf(921)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(921)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@3d11daa8b17c: TCH received (len=31): 'C0E6C9F15A2C95000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@3d11daa8b17c: TCH received (len=31): 'C0E6C9F15A2C95000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@3d11daa8b17c: TCH received (len=31): 'C0E6C9F15A2C95000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@3d11daa8b17c: Final verdict of PTC: pass TC_speech_rtp_tchf(923)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(923)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_rtp_tchf(923)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(923)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_rtp_tchf(923)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_rtp_tchf(923)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_rtp_tchf(923)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RSL(917)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(918)@3d11daa8b17c: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(916)@3d11daa8b17c: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(922)@3d11daa8b17c: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(924)@3d11daa8b17c: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(920)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(916): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchf-RSL(917): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(918): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchf(919): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(920): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchf(921): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(922): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchf(923): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(924): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Thu Sep 12 13:22:02 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9273634) Waiting for packet dumper to finish... 1 (prev_count=9273634, count=9274131) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Thu Sep 12 13:22:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(928)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(928)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_rtp_tchh(928)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(928)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@3d11daa8b17c: TCH received (len=15): '00E6C9F15A2C950000000000000000'O TC_speech_rtp_tchh(928)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@3d11daa8b17c: TCH received (len=15): '00E6C9F15A2C950000000000000000'O TC_speech_rtp_tchh(928)@3d11daa8b17c: TCH received (len=15): '00E6C9F15A2C950000000000000000'O TC_speech_rtp_tchh(928)@3d11daa8b17c: TCH received (len=15): '00E6C9F15A2C950000000000000000'O TC_speech_rtp_tchh(928)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@3d11daa8b17c: Final verdict of PTC: pass TC_speech_rtp_tchh(930)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(930)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_rtp_tchh(930)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(930)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_rtp_tchh(930)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_rtp_tchh(930)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_rtp_tchh(930)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_rtp_tchh(930)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(926)@3d11daa8b17c: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(925)@3d11daa8b17c: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(929)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(927)@3d11daa8b17c: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(931)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(925): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchh-RSL(926): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(927): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchh(928): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(929): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchh(930): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(931): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Thu Sep 12 13:22:12 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6615856) Waiting for packet dumper to finish... 1 (prev_count=6615856, count=6616353) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Thu Sep 12 13:22:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(935)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_osmux_tchf(935)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(935)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchf(935)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchf(935)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchf(935)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchf(935)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchf(935)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchf(935)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchf(935)@3d11daa8b17c: got 'E6C9F15A2C95000000000000000000'O vs exp 'E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchf(935)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL-IPA(932)@3d11daa8b17c: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(936)@3d11daa8b17c: Final verdict of PTC: none TC_speech_osmux_tchf-RSL(933)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(934)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(932): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_osmux_tchf-RSL(933): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(934): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_osmux_tchf(935): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(936): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Thu Sep 12 13:22:20 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4420321) Waiting for packet dumper to finish... 1 (prev_count=4420321, count=4425494) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Thu Sep 12 13:22:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh(940)@3d11daa8b17c: setverdict(pass): none -> pass TC_speech_osmux_tchh(940)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(940)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_osmux_tchh(940)@3d11daa8b17c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_osmux_tchh(940)@3d11daa8b17c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: TCH received (len=17): '2014E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: got 'E6C9F15A2C95000000000000000000'O vs exp 'E6C9F15A2C95000000000000000000'O TC_speech_osmux_tchh(940)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh(940)@3d11daa8b17c: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(939)@3d11daa8b17c: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(937)@3d11daa8b17c: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(941)@3d11daa8b17c: Final verdict of PTC: none TC_speech_osmux_tchh-RSL(938)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(937): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_osmux_tchh-RSL(938): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(939): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_osmux_tchh(940): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(941): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Thu Sep 12 13:22:27 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4225291) Waiting for packet dumper to finish... 1 (prev_count=4225291, count=4225788) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Thu Sep 12 13:22:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(945)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(945)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchf144(945)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(946)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(945)@3d11daa8b17c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(945)@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@3d11daa8b17c: Test Component 945 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RSL-IPA(942)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf144-RSL(943)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf144-RTPEM(946)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(944)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf144(945)@3d11daa8b17c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(942): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf144-RSL(943): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(944): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf144(945): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(946): none (fail -> fail) MTC@3d11daa8b17c: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Thu Sep 12 13:22:33 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1939027) Waiting for packet dumper to finish... 1 (prev_count=1939027, count=1939524) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Thu Sep 12 13:22:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(950)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(950)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchf96(950)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(951)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(950)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(950)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(950)@3d11daa8b17c: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@3d11daa8b17c: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(950)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(951)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(950)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(950)@3d11daa8b17c: Final verdict of PTC: pass TC_data_rtp_tchf96(952)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(952)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchf96(952)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(953)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(952)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(952)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(952)@3d11daa8b17c: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@3d11daa8b17c: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(952)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(953)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(952)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(952)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RTPEM(951)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf96-RSL(948)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(947)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(949)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(953)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(947): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf96-RSL(948): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(949): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf96(950): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(951): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf96(952): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(953): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Thu Sep 12 13:22:40 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2918723) Waiting for packet dumper to finish... 1 (prev_count=2918723, count=2923896) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Thu Sep 12 13:22:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(957)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(957)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchf48(957)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(958)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(957)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(957)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(957)@3d11daa8b17c: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@3d11daa8b17c: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(957)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(958)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(957)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(957)@3d11daa8b17c: Final verdict of PTC: pass TC_data_rtp_tchf48(959)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(959)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchf48(959)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(960)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(959)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(959)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(959)@3d11daa8b17c: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@3d11daa8b17c: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(959)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(960)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(959)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(959)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL-IPA(954)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(956)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf48-RSL(955)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(960)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(958)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(954): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf48-RSL(955): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(956): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf48(957): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(958): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf48(959): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(960): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Thu Sep 12 13:22:46 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2905870) Waiting for packet dumper to finish... 1 (prev_count=2905870, count=2906367) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Thu Sep 12 13:22:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(964)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(964)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchh48(964)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(965)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(964)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(964)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(964)@3d11daa8b17c: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(964)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(964)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(964)@3d11daa8b17c: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(964)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(965)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(964)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(964)@3d11daa8b17c: Final verdict of PTC: pass TC_data_rtp_tchh48(966)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(966)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchh48(966)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(967)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(966)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(966)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(966)@3d11daa8b17c: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(966)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@3d11daa8b17c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@3d11daa8b17c: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(966)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(966)@3d11daa8b17c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(966)@3d11daa8b17c: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(966)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(967)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(966)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(966)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(962)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(961)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(963)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(967)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(965)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(961): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh48-RSL(962): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(963): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh48(964): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(965): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh48(966): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(967): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Thu Sep 12 13:22:53 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3149726) Waiting for packet dumper to finish... 1 (prev_count=3149726, count=3150223) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Thu Sep 12 13:22:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(971)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(971)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchf24(971)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(972)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(971)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(971)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(971)@3d11daa8b17c: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(971)@3d11daa8b17c: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(971)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(972)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(971)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(971)@3d11daa8b17c: Final verdict of PTC: pass TC_data_rtp_tchf24(973)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(973)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchf24(973)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(974)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(973)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(973)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(973)@3d11daa8b17c: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@3d11daa8b17c: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(973)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(974)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(973)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24(973)@3d11daa8b17c: Final verdict of PTC: pass TC_data_rtp_tchf24-RTPEM(972)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(970)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(974)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf24-RSL(969)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(968)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(968): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf24-RSL(969): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(970): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf24(971): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(972): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf24(973): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(974): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Thu Sep 12 13:22:59 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2529455) Waiting for packet dumper to finish... 1 (prev_count=2529455, count=2534628) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Thu Sep 12 13:23:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(978)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(978)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchh24(978)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(979)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(978)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(978)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(978)@3d11daa8b17c: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(978)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@3d11daa8b17c: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(978)@3d11daa8b17c: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(978)@3d11daa8b17c: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(978)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(979)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(978)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(978)@3d11daa8b17c: Final verdict of PTC: pass TC_data_rtp_tchh24(980)@3d11daa8b17c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(980)@3d11daa8b17c: setverdict(pass): none -> pass TC_data_rtp_tchh24(980)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(981)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(980)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(980)@3d11daa8b17c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(980)@3d11daa8b17c: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(980)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@3d11daa8b17c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@3d11daa8b17c: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(980)@3d11daa8b17c: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(980)@3d11daa8b17c: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(980)@3d11daa8b17c: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(980)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(981)@3d11daa8b17c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(980)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(980)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL-IPA(975)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchh24-RSL(976)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(977)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(979)@3d11daa8b17c: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(981)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(975): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh24-RSL(976): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(977): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh24(978): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(979): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh24(980): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(981): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Thu Sep 12 13:23:06 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3130386) Waiting for packet dumper to finish... 1 (prev_count=3130386, count=3130883) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Thu Sep 12 13:23:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(985)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(985)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(985)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_early_immediate_assignment(985)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(985)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(985)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(986)@3d11daa8b17c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(986)@3d11daa8b17c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 0 }, tsc := 7, h := false, arfcn := 873, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 15 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(986)@3d11daa8b17c: Received IMM.ASS for our RACH! TC_early_immediate_assignment(986)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(986)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(986)@3d11daa8b17c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":8891 TC_early_immediate_assignment-RSL(983)@3d11daa8b17c: Final verdict of PTC: none TC_early_immediate_assignment-RSL-IPA(982)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(984)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(982): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_early_immediate_assignment-RSL(983): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(984): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_early_immediate_assignment(985): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Local verdict of PTC TC_early_immediate_assignment(986): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@3d11daa8b17c: Test case TC_early_immediate_assignment finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass'. Thu Sep 12 13:23:14 UTC 2024 ====== BTS_Tests.TC_early_immediate_assignment pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4016809) Waiting for packet dumper to finish... 1 (prev_count=4016809, count=4022419) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Thu Sep 12 13:23:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 1, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Executing step[4] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Executing step[5] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 4, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Executing step[6] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 3, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Executing step[7] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":9085 TC_acch_overpower_rxqual_thresh-RSL(988)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(989)@3d11daa8b17c: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(987): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(988): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(989): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_rxqual_thresh(990): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass'. Thu Sep 12 13:23:39 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15601407) Waiting for packet dumper to finish... 1 (prev_count=15601407, count=15606580) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Thu Sep 12 13:23:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '1'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":9126 TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(993)@3d11daa8b17c: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(992): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(993): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(994): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass'. Thu Sep 12 13:23:57 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9733122) Waiting for packet dumper to finish... 1 (prev_count=9733122, count=9733619) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Thu Sep 12 13:24:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":9158 TC_acch_overpower_always_on_facch-RSL(996)@3d11daa8b17c: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(995)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(997)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(995): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(996): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(997): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_always_on_facch(998): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_acch_overpower_always_on_facch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass'. Thu Sep 12 13:24:14 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_facch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8177786) Waiting for packet dumper to finish... 1 (prev_count=8177786, count=8178720) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Thu Sep 12 13:24:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":9190 TC_acch_overpower_always_on_sacch-RSL(1000)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1001)@3d11daa8b17c: Final verdict of PTC: none TC_acch_overpower_always_on_sacch-RSL-IPA(999)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(999): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(1000): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1001): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_always_on_sacch(1002): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_acch_overpower_always_on_sacch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass'. Thu Sep 12 13:24:30 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_sacch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8559648) Waiting for packet dumper to finish... 1 (prev_count=8559648, count=8560145) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Thu Sep 12 13:24:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(1006)@3d11daa8b17c: RxLev(BCCH) := 30 TC_acch_overpower_limit(1006)@3d11daa8b17c: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(1006)@3d11daa8b17c: setverdict(pass): none -> pass TC_acch_overpower_limit(1006)@3d11daa8b17c: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@3d11daa8b17c: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(1006)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@3d11daa8b17c: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@3d11daa8b17c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(1006)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":9221 TC_acch_overpower_limit-RSL(1004)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1005)@3d11daa8b17c: Final verdict of PTC: none TC_acch_overpower_limit-RSL-IPA(1003)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(1003): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_limit-RSL(1004): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1005): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_acch_overpower_limit(1006): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_acch_overpower_limit finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass'. Thu Sep 12 13:24:46 UTC 2024 ====== BTS_Tests.TC_acch_overpower_limit pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8554655) Waiting for packet dumper to finish... 1 (prev_count=8554655, count=8555152) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass' was executed successfully (exit status: 0). MC@3d11daa8b17c: Test execution finished. MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Thu Sep 12 13:24:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(1008)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1009)@3d11daa8b17c: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(1007): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(1008): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1009): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Thu Sep 12 13:25:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6449376) Waiting for packet dumper to finish... 1 (prev_count=6449376, count=6449873) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Thu Sep 12 13:25:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1012)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(1011): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1012): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Thu Sep 12 13:25:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10073126) Waiting for packet dumper to finish... 1 (prev_count=10073126, count=10073623) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Thu Sep 12 13:25:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1015)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(1014): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1015): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Thu Sep 12 13:25:39 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10057621) Waiting for packet dumper to finish... 1 (prev_count=10057621, count=10062794) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Thu Sep 12 13:25:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1018)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(1017): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1018): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Thu Sep 12 13:25:58 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10005557) Waiting for packet dumper to finish... 1 (prev_count=10005557, count=10006054) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Thu Sep 12 13:26:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: Established a new IPA connection (conn_id=5) MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@3d11daa8b17c: Found block_nr 3 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 IPA-CTRL-CLI-IPA(1021)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(1020): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1021): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Thu Sep 12 13:26:17 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9927898) Waiting for packet dumper to finish... 1 (prev_count=9927898, count=9928395) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Thu Sep 12 13:26:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@3d11daa8b17c: Found block_nr 3 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 1 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 1 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 1 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@3d11daa8b17c: Found block_nr 3 of msg 1 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 2 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 2 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 2 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@3d11daa8b17c: Found block_nr 3 of msg 2 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1024)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(1023): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1024): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Thu Sep 12 13:26:42 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15205246) Waiting for packet dumper to finish... 1 (prev_count=15205246, count=15205743) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Thu Sep 12 13:26:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@3d11daa8b17c: Found block_nr 3 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1027)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1026): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1027): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Thu Sep 12 13:27:00 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9602849) Waiting for packet dumper to finish... 1 (prev_count=9602849, count=9603346) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Thu Sep 12 13:27:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1030)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1029): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1030): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Thu Sep 12 13:27:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11740104) Waiting for packet dumper to finish... 1 (prev_count=11740104, count=11740601) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Thu Sep 12 13:27:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 14, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 25, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1033)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1033): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Thu Sep 12 13:27:43 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12528191) Waiting for packet dumper to finish... 1 (prev_count=12528191, count=12528688) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Thu Sep 12 13:27:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4418, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1036)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1036): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Thu Sep 12 13:28:13 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20655426) Waiting for packet dumper to finish... 1 (prev_count=20655426, count=20656360) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Thu Sep 12 13:28:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 4/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1038)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1039)@3d11daa8b17c: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1037): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1038): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1039): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Thu Sep 12 13:28:34 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7650147) Waiting for packet dumper to finish... 1 (prev_count=7650147, count=7655757) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Thu Sep 12 13:28:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1041)@3d11daa8b17c: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1042)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1040): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1041): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1042): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Thu Sep 12 13:28:57 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8689471) Waiting for packet dumper to finish... 1 (prev_count=8689471, count=8689968) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Thu Sep 12 13:29:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_1block started. TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1045)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1044): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1045): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Thu Sep 12 13:29:16 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10084507) Waiting for packet dumper to finish... 1 (prev_count=10084507, count=10085004) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Thu Sep 12 13:29:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_2block started. TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1048)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1047): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1048): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Thu Sep 12 13:29:34 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10023382) Waiting for packet dumper to finish... 1 (prev_count=10023382, count=10028992) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Thu Sep 12 13:29:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_3block started. TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 25, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1051)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1050): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1051): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Thu Sep 12 13:29:53 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10011957) Waiting for packet dumper to finish... 1 (prev_count=10011957, count=10012454) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Thu Sep 12 13:29:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_4block started. TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@3d11daa8b17c: Found block_nr 3 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1054)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1053): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1054): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Thu Sep 12 13:30:12 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10077192) Waiting for packet dumper to finish... 1 (prev_count=10077192, count=10077689) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Thu Sep 12 13:30:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_multi started. TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@3d11daa8b17c: Found block_nr 3 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 1 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 1 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 1 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@3d11daa8b17c: Found block_nr 3 of msg 1 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 2 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 2 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 2 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@3d11daa8b17c: Found block_nr 3 of msg 2 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1057)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1056): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1057): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Thu Sep 12 13:30:36 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15363703) Waiting for packet dumper to finish... 1 (prev_count=15363703, count=15364200) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Thu Sep 12 13:30:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_schedule started. TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@3d11daa8b17c: Found block_nr 3 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1060)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1059): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1060): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Thu Sep 12 13:30:55 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10074218) Waiting for packet dumper to finish... 1 (prev_count=10074218, count=10074715) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Thu Sep 12 13:30:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1063)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1062): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1063): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Thu Sep 12 13:31:16 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11837211) Waiting for packet dumper to finish... 1 (prev_count=11837211, count=11837708) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Thu Sep 12 13:31:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@3d11daa8b17c: Found block_nr 0 of msg 0 MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@3d11daa8b17c: Found block_nr 1 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@3d11daa8b17c: Found block_nr 2 of msg 0 MTC@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 1 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 2 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Found block_nr 3 of DEFAULT/NULL MTC@3d11daa8b17c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@3d11daa8b17c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@3d11daa8b17c: Found block_nr 0 of DEFAULT/NULL MTC@3d11daa8b17c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1066)@3d11daa8b17c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1066): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Thu Sep 12 13:31:37 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12634430) Waiting for packet dumper to finish... 1 (prev_count=12634430, count=12634927) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Thu Sep 12 13:31:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1068)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1069)@3d11daa8b17c: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1067): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1068): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1069): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Thu Sep 12 13:31:58 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7603447) Waiting for packet dumper to finish... 1 (prev_count=7603447, count=7603944) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Thu Sep 12 13:32:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 IPA-CTRL-CLI-IPA(1072)@3d11daa8b17c: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@3d11daa8b17c: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL(1071)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1070): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1071): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1072): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Thu Sep 12 13:32:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8717516) Waiting for packet dumper to finish... 1 (prev_count=8717516, count=8718013) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Thu Sep 12 13:32:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1074)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL(1074)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1074)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_etws_p1ro-RSL(1074)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Quantity of received ETWS PN segments: { 21, 21, 20, 20 } MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1074)@3d11daa8b17c: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1073)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1075)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1073): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_etws_p1ro-RSL(1074): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1075): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_etws_p1ro finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Thu Sep 12 13:32:37 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11295506) Waiting for packet dumper to finish... 1 (prev_count=11295506, count=11296003) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Thu Sep 12 13:32:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1077)@3d11daa8b17c: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1076)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1078)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1076): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_etws_p1ro_end-RSL(1077): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1078): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Thu Sep 12 13:32:58 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15185652) Waiting for packet dumper to finish... 1 (prev_count=15185652, count=15186149) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Thu Sep 12 13:33:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1080)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1080)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1080)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL(1080)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL(1080)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1080)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL(1080)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1080)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL(1080)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 TC_etws_pcu-RSL(1080)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1081)@3d11daa8b17c: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1079)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_etws_pcu-RSL-IPA(1079): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_etws_pcu-RSL(1080): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1081): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_etws_pcu finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Thu Sep 12 13:33:04 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2023628) Waiting for packet dumper to finish... 1 (prev_count=2023628, count=2024562) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@3d11daa8b17c: Test execution finished. MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Thu Sep 12 13:33:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1086@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1085)@3d11daa8b17c: setverdict(pass): none -> pass 1086@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1086@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1086@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1086@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1085)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1086@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1085)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1086@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1085)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1088@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1087)@3d11daa8b17c: setverdict(pass): none -> pass 1088@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1088@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1088@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1088@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1087)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1088@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1087)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1088@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1087)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1090@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1089)@3d11daa8b17c: setverdict(pass): none -> pass 1090@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1090@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1090@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1090@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1089)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1090@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1089)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1090@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1089)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1092@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1091)@3d11daa8b17c: setverdict(pass): none -> pass 1092@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1092@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1092@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1092@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1091)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1092@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1091)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1092@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1091)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1083)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1084)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1082): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1083): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1084): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1085): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1086: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1087): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1088: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1089): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1090: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1091): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1092: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Thu Sep 12 13:33:10 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3042883) Waiting for packet dumper to finish... 1 (prev_count=3042883, count=3043380) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Thu Sep 12 13:33:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1097@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1096)@3d11daa8b17c: setverdict(pass): none -> pass 1097@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1097@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1097@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1097@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1096)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1097@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1096)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1097@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1096)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sabm_ua_dcch_sapi0_nopayload(1098)@3d11daa8b17c: setverdict(pass): none -> pass 1099@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1099@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1099@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1099@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1099@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1098)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1099@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1098)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sabm_ua_dcch_sapi0_nopayload(1098)@3d11daa8b17c: Final verdict of PTC: pass 1099@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_ua_dcch_sapi0_nopayload(1100)@3d11daa8b17c: setverdict(pass): none -> pass 1101@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1101@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1101@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1101@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1101@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1100)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1101@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1100)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1101@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1100)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1103@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1102)@3d11daa8b17c: setverdict(pass): none -> pass 1103@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1103@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1103@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1103@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1102)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1103@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1102)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1103@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1102)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1095)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1095): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1096): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1097: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1098): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1099: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1100): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1101: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1102): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1103: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass'. Thu Sep 12 13:33:36 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22238235) Waiting for packet dumper to finish... 1 (prev_count=22238235, count=22238732) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Thu Sep 12 13:33:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1108@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1107)@3d11daa8b17c: setverdict(pass): none -> pass 1108@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1108@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1108@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1108@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1107)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1108@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1107)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1108@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1107)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1110@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1109)@3d11daa8b17c: setverdict(pass): none -> pass 1110@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1110@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1110@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1110@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1109)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1110@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1109)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1110@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1109)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1112@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1111)@3d11daa8b17c: setverdict(pass): none -> pass 1112@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1112@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1112@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1112@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1111)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1112@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1111)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1112@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1111)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1114@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1113)@3d11daa8b17c: setverdict(pass): none -> pass 1114@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1114@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1114@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1114@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1113)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1114@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1113)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1114@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3(1113)@3d11daa8b17c: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(1106)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL(1105)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1104): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1105): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1106): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1107): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1108: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1109): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1110: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1111): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1112: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1113): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1114: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Thu Sep 12 13:34:02 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22121537) Waiting for packet dumper to finish... 1 (prev_count=22121537, count=22122034) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Thu Sep 12 13:34:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1119@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1118)@3d11daa8b17c: setverdict(pass): none -> pass 1119@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1119@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1119@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1119@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1118)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1119@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1118)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1119@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1118)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1121@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1120)@3d11daa8b17c: setverdict(pass): none -> pass 1121@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1121@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1121@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1121@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1120)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1121@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1120)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1121@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1120)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1123@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1122)@3d11daa8b17c: setverdict(pass): none -> pass 1123@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1123@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1123@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1123@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1122)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1123@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1122)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1123@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1122)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1125@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1124)@3d11daa8b17c: setverdict(pass): none -> pass 1125@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1125@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1125@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1125@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1124)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1125@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1124)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1125@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1124)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1116)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1117)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1115): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1116): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1117): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1118): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1119: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1120): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1121: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1122): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1123: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1124): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1125: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Thu Sep 12 13:34:28 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22245076) Waiting for packet dumper to finish... 1 (prev_count=22245076, count=22245573) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Thu Sep 12 13:34:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1127)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1127)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1127)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1127)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_contention-RSL(1127)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sabm_contention-RSL(1127)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sabm_contention-RSL(1127)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1127)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1130@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1129)@3d11daa8b17c: setverdict(pass): none -> pass 1130@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1130@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1130@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1130@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1129)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1130@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1129)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1130@3d11daa8b17c: Final verdict of PTC: none TC_sabm_contention(1129)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1132@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1131)@3d11daa8b17c: setverdict(pass): none -> pass 1132@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1132@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1132@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1132@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1131)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1132@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1131)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1132@3d11daa8b17c: Final verdict of PTC: none TC_sabm_contention(1131)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1134@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1133)@3d11daa8b17c: setverdict(pass): none -> pass 1134@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1134@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1134@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1134@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1133)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1134@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1133)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1134@3d11daa8b17c: Final verdict of PTC: none TC_sabm_contention(1133)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1136@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1135)@3d11daa8b17c: setverdict(pass): none -> pass 1136@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1136@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1136@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1136@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1135)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1136@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1135)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1136@3d11daa8b17c: Final verdict of PTC: none TC_sabm_contention(1135)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1127)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1126)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1128)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_contention-RSL-IPA(1126): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_contention-RSL(1127): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1128): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_contention(1129): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1130: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_contention(1131): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1132: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_contention(1133): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1134: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_contention(1135): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1136: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_contention finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Thu Sep 12 13:34:54 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22266043) Waiting for packet dumper to finish... 1 (prev_count=22266043, count=22271653) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Thu Sep 12 13:34:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1141@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1140)@3d11daa8b17c: setverdict(pass): none -> pass 1141@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1141@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1141@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1141@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1140)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1141@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1140)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1141@3d11daa8b17c: Final verdict of PTC: none TC_sabm_retransmit(1140)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1143@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1142)@3d11daa8b17c: setverdict(pass): none -> pass 1143@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1143@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1143@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1143@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1142)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1143@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1142)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1143@3d11daa8b17c: Final verdict of PTC: none TC_sabm_retransmit(1142)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1145@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1144)@3d11daa8b17c: setverdict(pass): none -> pass 1145@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1145@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1145@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1145@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1144)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1145@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1144)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1145@3d11daa8b17c: Final verdict of PTC: none TC_sabm_retransmit(1144)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1147@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1146)@3d11daa8b17c: setverdict(pass): none -> pass 1147@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1147@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1147@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1147@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1146)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1147@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1146)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1147@3d11daa8b17c: Final verdict of PTC: none TC_sabm_retransmit(1146)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1138)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1137)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1139)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1137): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit-RSL(1138): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1139): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit(1140): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1141: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit(1142): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1143: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit(1144): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1145: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit(1146): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1147: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_retransmit finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Thu Sep 12 13:35:02 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4195746) Waiting for packet dumper to finish... 1 (prev_count=4195746, count=4196243) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Thu Sep 12 13:35:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1152@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1151)@3d11daa8b17c: setverdict(pass): none -> pass 1152@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1152@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1152@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1152@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1151)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1152@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1151)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1152@3d11daa8b17c: Final verdict of PTC: none TC_sabm_retransmit_bts(1151)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sabm_retransmit_bts(1153)@3d11daa8b17c: setverdict(pass): none -> pass 1154@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1154@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1154@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1154@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1154@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1153)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1154@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1153)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1154@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_retransmit_bts(1153)@3d11daa8b17c: Final verdict of PTC: pass 1156@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1155)@3d11daa8b17c: setverdict(pass): none -> pass 1156@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1156@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1156@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1156@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1155)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1156@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1155)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1156@3d11daa8b17c: Final verdict of PTC: none TC_sabm_retransmit_bts(1155)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1158@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1157)@3d11daa8b17c: setverdict(pass): none -> pass 1158@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1158@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1158@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1158@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1157)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1158@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1157)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_sabm_retransmit_bts(1157)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1149)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1150)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1148)@3d11daa8b17c: Final verdict of PTC: none 1158@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1148): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1149): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1150): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit_bts(1151): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1152: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit_bts(1153): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1154: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit_bts(1155): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1156: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_retransmit_bts(1157): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1158: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_retransmit_bts finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass'. Thu Sep 12 13:36:28 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=82015591) Waiting for packet dumper to finish... 1 (prev_count=82015591, count=82016088) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Thu Sep 12 13:36:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1163@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): none -> pass 1163@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1163@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1163@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1163@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1163@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1163@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1164@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1164@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1164@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1164@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1164@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1164@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1164@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1165@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1165@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1165@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1165@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1165@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1165@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1165@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1167@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): none -> pass 1167@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1167@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1167@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1167@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1167@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1167@3d11daa8b17c: Final verdict of PTC: none 1168@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1168@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1168@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1168@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1168@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1168@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1168@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1169@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1169@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1169@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1169@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1169@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1169@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1169@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1166)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1171@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): none -> pass 1171@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1171@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1171@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1171@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1171@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1171@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1172@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1172@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1172@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1172@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1172@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1172@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1172@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1173@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1173@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1173@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1173@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1173@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1173@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1173@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1175@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): none -> pass 1175@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1175@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1175@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1175@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1175@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1175@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1176@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1176@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1176@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1176@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1176@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1176@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1176@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1177@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1177@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1177@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1177@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1177@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1177@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1177@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp(1174)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1160)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1161)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1159)@3d11daa8b17c: Final verdict of PTC: none Thu Sep 12 13:36:56 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1159): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_invalid_resp-RSL(1160): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1161): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_invalid_resp(1162): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1163: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1164: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1165: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_invalid_resp(1166): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1167: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1168: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1169: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_invalid_resp(1170): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1171: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1172: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1173: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_invalid_resp(1174): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1175: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1176: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1177: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Waiting for packet dumper to finish... 0 (prev_count=-1, count=19129302) Waiting for packet dumper to finish... 1 (prev_count=19129302, count=19129799) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Thu Sep 12 13:37:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1179)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_dm-RSL(1179)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1179)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL(1179)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL(1179)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1182@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1181)@3d11daa8b17c: setverdict(pass): none -> pass 1182@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1182@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1182@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1182@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1181)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1182@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1181)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1182@3d11daa8b17c: Final verdict of PTC: none TC_sabm_dm(1181)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1184@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1183)@3d11daa8b17c: setverdict(pass): none -> pass 1184@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1184@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1184@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1184@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1183)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1184@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1183)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1184@3d11daa8b17c: Final verdict of PTC: none TC_sabm_dm(1183)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1186@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1185)@3d11daa8b17c: setverdict(pass): none -> pass 1186@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1186@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1186@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1186@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1185)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1186@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1185)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1186@3d11daa8b17c: Final verdict of PTC: none TC_sabm_dm(1185)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1188@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1187)@3d11daa8b17c: setverdict(pass): none -> pass 1188@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1188@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1188@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1188@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1187)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1188@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1187)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1188@3d11daa8b17c: Final verdict of PTC: none TC_sabm_dm(1187)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1179)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1180)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1178)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_dm-RSL-IPA(1178): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_dm-RSL(1179): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1180): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_dm(1181): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1182: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_dm(1183): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1184: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_dm(1185): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1186: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_dm(1187): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1188: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_dm finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Thu Sep 12 13:37:14 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14885551) Waiting for packet dumper to finish... 1 (prev_count=14885551, count=14891161) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Thu Sep 12 13:37:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1193@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1192)@3d11daa8b17c: setverdict(pass): none -> pass 1193@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1193@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1193@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1193@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1192)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1193@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1192)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1193@3d11daa8b17c: Final verdict of PTC: none TC_establish_ign_first_sabm(1192)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1195@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1194)@3d11daa8b17c: setverdict(pass): none -> pass 1195@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1195@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1195@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1195@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1194)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1195@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1194)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1195@3d11daa8b17c: Final verdict of PTC: none TC_establish_ign_first_sabm(1194)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1197@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1196)@3d11daa8b17c: setverdict(pass): none -> pass 1197@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1197@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1197@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1197@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1196)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1197@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1196)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1197@3d11daa8b17c: Final verdict of PTC: none TC_establish_ign_first_sabm(1196)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1199@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1198)@3d11daa8b17c: setverdict(pass): none -> pass 1199@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1199@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1199@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1199@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1198)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1199@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1198)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1199@3d11daa8b17c: Final verdict of PTC: none TC_establish_ign_first_sabm(1198)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1190)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1191)@3d11daa8b17c: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1189)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1189): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1190): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1191): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_establish_ign_first_sabm(1192): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1193: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_establish_ign_first_sabm(1194): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1195: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_establish_ign_first_sabm(1196): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1197: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_establish_ign_first_sabm(1198): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1199: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Thu Sep 12 13:37:27 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8565902) Waiting for packet dumper to finish... 1 (prev_count=8565902, count=8566527) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Thu Sep 12 13:37:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: Established a new IPA connection (conn_id=5) MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1204@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1203)@3d11daa8b17c: setverdict(pass): none -> pass 1204@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1204@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1204@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1204@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 0 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 1 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 2 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 3 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 4 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 5 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 6 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 7 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 8 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Starting iteration 9 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Completed iteration 1204@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1203)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1204@3d11daa8b17c: Final verdict of PTC: none TC_iframe_seq_and_ack(1203)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1206@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1205)@3d11daa8b17c: setverdict(pass): none -> pass 1206@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1206@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1206@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1206@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 0 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 1 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 2 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 3 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 4 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 5 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 6 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 7 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 8 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Starting iteration 9 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Completed iteration 1206@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1205)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1206@3d11daa8b17c: Final verdict of PTC: none TC_iframe_seq_and_ack(1205)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1208@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1207)@3d11daa8b17c: setverdict(pass): none -> pass 1208@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1208@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1208@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1208@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 0 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 1 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 2 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 3 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 4 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 5 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 6 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 7 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 8 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Starting iteration 9 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Completed iteration 1208@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1207)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1208@3d11daa8b17c: Final verdict of PTC: none TC_iframe_seq_and_ack(1207)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1210@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1209)@3d11daa8b17c: setverdict(pass): none -> pass 1210@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1210@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1210@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1210@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 0 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 1 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 2 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 3 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 4 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 5 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 6 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 7 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 8 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Starting iteration 9 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Completed iteration 1210@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1209)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1210@3d11daa8b17c: Final verdict of PTC: none TC_iframe_seq_and_ack(1209)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_seq_and_ack-RSL(1201)@3d11daa8b17c: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1200)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1202)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1200): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1201): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1202): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_seq_and_ack(1203): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1204: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_seq_and_ack(1205): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1206: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_seq_and_ack(1207): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1208: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_seq_and_ack(1209): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1210: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_iframe_seq_and_ack finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass'. Thu Sep 12 13:37:46 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14849979) Waiting for packet dumper to finish... 1 (prev_count=14849979, count=14850476) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Thu Sep 12 13:37:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1215@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1214)@3d11daa8b17c: setverdict(pass): none -> pass 1215@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1215@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1215@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1215@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1215@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1214)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1215@3d11daa8b17c: Final verdict of PTC: none TC_iframe_timer_recovery(1214)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1217@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1216)@3d11daa8b17c: setverdict(pass): none -> pass 1217@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1217@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1217@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1217@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1217@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1216)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1217@3d11daa8b17c: Final verdict of PTC: none TC_iframe_timer_recovery(1216)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1219@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1218)@3d11daa8b17c: setverdict(pass): none -> pass 1219@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1219@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1219@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1219@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1219@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1218)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1219@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_iframe_timer_recovery(1218)@3d11daa8b17c: Final verdict of PTC: pass 1221@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1220)@3d11daa8b17c: setverdict(pass): none -> pass 1221@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1221@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1221@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1221@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1221@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1220)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1221@3d11daa8b17c: Final verdict of PTC: none TC_iframe_timer_recovery(1220)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_timer_recovery-RSL(1212)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1213)@3d11daa8b17c: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1211)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1211): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_timer_recovery-RSL(1212): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1213): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_timer_recovery(1214): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1215: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_timer_recovery(1216): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1217: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_timer_recovery(1218): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1219: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_iframe_timer_recovery(1220): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1221: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_iframe_timer_recovery finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass'. Thu Sep 12 13:37:57 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_timer_recovery pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7447437) Waiting for packet dumper to finish... 1 (prev_count=7447437, count=7447934) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Thu Sep 12 13:38:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_ns_seq_error-RSL(1223)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1226@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1225)@3d11daa8b17c: setverdict(pass): none -> pass 1226@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1226@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1226@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1226@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1225)@3d11daa8b17c: Warning: Re-starting timer T1, which is already active (running or expired). 1226@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1225)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1226@3d11daa8b17c: Final verdict of PTC: none TC_ns_seq_error(1225)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1225)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1228@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1227)@3d11daa8b17c: setverdict(pass): none -> pass 1228@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1228@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1228@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1228@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1227)@3d11daa8b17c: Warning: Re-starting timer T1, which is already active (running or expired). 1228@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1227)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1228@3d11daa8b17c: Final verdict of PTC: none TC_ns_seq_error(1227)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1227)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1230@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1229)@3d11daa8b17c: setverdict(pass): none -> pass 1230@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1230@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1230@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1230@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1229)@3d11daa8b17c: Warning: Re-starting timer T1, which is already active (running or expired). 1230@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1229)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1230@3d11daa8b17c: Final verdict of PTC: none TC_ns_seq_error(1229)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1229)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1232@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1231)@3d11daa8b17c: setverdict(pass): none -> pass 1232@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1232@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1232@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1232@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1231)@3d11daa8b17c: Warning: Re-starting timer T1, which is already active (running or expired). 1232@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1231)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1232@3d11daa8b17c: Final verdict of PTC: none TC_ns_seq_error(1231)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1231)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_ns_seq_error-RSL(1223)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1224)@3d11daa8b17c: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1222)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1222): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ns_seq_error-RSL(1223): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1224): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_ns_seq_error(1225): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1226: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ns_seq_error(1227): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1228: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ns_seq_error(1229): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1230: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_ns_seq_error(1231): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1232: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_ns_seq_error finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error pass'. Thu Sep 12 13:38:15 UTC 2024 ====== BTS_Tests_LAPDm.TC_ns_seq_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14049578) Waiting for packet dumper to finish... 1 (prev_count=14049578, count=14054293) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Thu Sep 12 13:38:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_nr_seq_error-RSL(1234)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1237@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1236)@3d11daa8b17c: setverdict(pass): none -> pass 1237@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1237@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1237@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1237@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1237@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1236)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1237@3d11daa8b17c: Final verdict of PTC: none TC_nr_seq_error(1236)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1236)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_nr_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1239@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1238)@3d11daa8b17c: setverdict(pass): none -> pass 1239@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1239@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1239@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1239@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1239@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1238)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1239@3d11daa8b17c: Final verdict of PTC: none TC_nr_seq_error(1238)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1238)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_nr_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1241@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1240)@3d11daa8b17c: setverdict(pass): none -> pass 1241@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1241@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1241@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1241@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1241@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1240)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1241@3d11daa8b17c: Final verdict of PTC: none TC_nr_seq_error(1240)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1240)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_nr_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1243@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1242)@3d11daa8b17c: setverdict(pass): none -> pass 1243@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1243@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1243@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1243@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1243@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1242)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1243@3d11daa8b17c: Final verdict of PTC: none TC_nr_seq_error(1242)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1242)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_nr_seq_error-RSL(1234)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1235)@3d11daa8b17c: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1233)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1233): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_nr_seq_error-RSL(1234): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1235): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_nr_seq_error(1236): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1237: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_nr_seq_error(1238): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1239: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_nr_seq_error(1240): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1241: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_nr_seq_error(1242): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1243: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_nr_seq_error finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass'. Thu Sep 12 13:38:22 UTC 2024 ====== BTS_Tests_LAPDm.TC_nr_seq_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3747152) Waiting for packet dumper to finish... 1 (prev_count=3747152, count=3747649) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Thu Sep 12 13:38:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1248@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1247)@3d11daa8b17c: setverdict(pass): none -> pass 1248@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1248@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1248@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1248@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1248@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1247)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1248@3d11daa8b17c: Final verdict of PTC: none TC_rec_invalid_frame(1247)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1247)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1250@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1249)@3d11daa8b17c: setverdict(pass): none -> pass 1250@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1250@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1250@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1250@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1250@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1249)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1250@3d11daa8b17c: Final verdict of PTC: none TC_rec_invalid_frame(1249)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1249)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1252@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1251)@3d11daa8b17c: setverdict(pass): none -> pass 1252@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1252@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1252@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1252@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1252@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1251)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1252@3d11daa8b17c: Final verdict of PTC: none TC_rec_invalid_frame(1251)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1251)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1254@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1253)@3d11daa8b17c: setverdict(pass): none -> pass 1254@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1254@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1254@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1254@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1254@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1253)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1254@3d11daa8b17c: Final verdict of PTC: none TC_rec_invalid_frame(1253)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1253)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rec_invalid_frame-RSL(1245)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1246)@3d11daa8b17c: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1244)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1244): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rec_invalid_frame-RSL(1245): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1246): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rec_invalid_frame(1247): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1248: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rec_invalid_frame(1249): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1250: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rec_invalid_frame(1251): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1252: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rec_invalid_frame(1253): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1254: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rec_invalid_frame finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass'. Thu Sep 12 13:40:45 UTC 2024 ====== BTS_Tests_LAPDm.TC_rec_invalid_frame pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=139011704) Waiting for packet dumper to finish... 1 (prev_count=139011704, count=139016877) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Thu Sep 12 13:40:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1259@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1258)@3d11daa8b17c: setverdict(pass): none -> pass 1259@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1259@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1259@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1259@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1258)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1259@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1258)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1259@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_dcch(1258)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1261@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1260)@3d11daa8b17c: setverdict(pass): none -> pass 1261@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1261@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1261@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1261@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1260)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1261@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1260)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1261@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_dcch(1260)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1263@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1262)@3d11daa8b17c: setverdict(pass): none -> pass 1263@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1263@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1263@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1263@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1262)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1263@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1262)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1263@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_dcch(1262)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1265@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1264)@3d11daa8b17c: setverdict(pass): none -> pass 1265@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1265@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1265@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1265@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1264)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1265@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1264)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1265@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_dcch(1264)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_dcch-RSL(1256)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1257)@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_dcch-RSL-IPA(1255)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1255): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_dcch-RSL(1256): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1257): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_dcch(1258): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1259: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_dcch(1260): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1261: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_dcch(1262): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1263: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_dcch(1264): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1265: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_segm_concat_dcch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass'. Thu Sep 12 13:41:06 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_dcch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12288434) Waiting for packet dumper to finish... 1 (prev_count=12288434, count=12288931) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Thu Sep 12 13:41:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1270@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1269)@3d11daa8b17c: setverdict(pass): none -> pass 1270@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1270@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1270@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1270@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1269)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1270@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1269)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1270@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_sacch(1269)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1272@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1271)@3d11daa8b17c: setverdict(pass): none -> pass 1272@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1272@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1272@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1272@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1271)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1272@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1271)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1272@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_sacch(1271)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1274@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1273)@3d11daa8b17c: setverdict(pass): none -> pass 1274@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1274@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1274@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1274@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1273)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1274@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1273)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1274@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_sacch(1273)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1276@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1275)@3d11daa8b17c: setverdict(pass): none -> pass 1276@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1276@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1276@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1276@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1275)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1276@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1275)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1276@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_sacch(1275)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_sacch-RSL(1267)@3d11daa8b17c: Final verdict of PTC: none TC_segm_concat_sacch-RSL-IPA(1266)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1268)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1266): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_sacch-RSL(1267): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1268): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_sacch(1269): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1270: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_sacch(1271): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1272: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_sacch(1273): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1274: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_segm_concat_sacch(1275): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1276: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_segm_concat_sacch finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass'. Thu Sep 12 13:42:10 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_sacch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=61340951) Waiting for packet dumper to finish... 1 (prev_count=61340951, count=61341448) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Thu Sep 12 13:42:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1278)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_t200_n200-RSL(1278)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL(1278)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_t200_n200-RSL(1278)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1278)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1278)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_t200_n200-RSL(1278)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1278)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1281@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1280)@3d11daa8b17c: setverdict(pass): none -> pass 1281@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1281@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1281@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1281@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1280)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1281@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1280)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1281@3d11daa8b17c: Final verdict of PTC: none TC_t200_n200(1280)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1283@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1282)@3d11daa8b17c: setverdict(pass): none -> pass 1283@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1283@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1283@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1283@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1282)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1283@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1282)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1283@3d11daa8b17c: Final verdict of PTC: none TC_t200_n200(1282)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1285@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1284)@3d11daa8b17c: setverdict(pass): none -> pass 1285@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1285@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1285@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1285@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1284)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1285@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1284)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1285@3d11daa8b17c: Final verdict of PTC: none TC_t200_n200(1284)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_t200_n200(1286)@3d11daa8b17c: setverdict(pass): none -> pass 1287@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1287@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1287@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1287@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1287@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1286)@3d11daa8b17c: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1287@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1286)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1287@3d11daa8b17c: Final verdict of PTC: none TC_t200_n200(1286)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_t200_n200-RSL(1278)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1279)@3d11daa8b17c: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1277)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_t200_n200-RSL-IPA(1277): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_t200_n200-RSL(1278): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1279): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_t200_n200(1280): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1281: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_t200_n200(1282): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1283: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_t200_n200(1284): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1285: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_t200_n200(1286): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1287: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_t200_n200 finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass'. Thu Sep 12 13:42:55 UTC 2024 ====== BTS_Tests_LAPDm.TC_t200_n200 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=37885588) Waiting for packet dumper to finish... 1 (prev_count=37885588, count=37886085) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Thu Sep 12 13:42:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1292@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1291)@3d11daa8b17c: setverdict(pass): none -> pass 1292@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1292@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1292@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1292@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1291)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1292@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1291)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1292@3d11daa8b17c: Final verdict of PTC: none TC_rr_response_frame_loss(1291)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1294@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1293)@3d11daa8b17c: setverdict(pass): none -> pass 1294@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1294@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1294@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1294@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1293)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1294@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1293)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1294@3d11daa8b17c: Final verdict of PTC: none TC_rr_response_frame_loss(1293)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1296@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1295)@3d11daa8b17c: setverdict(pass): none -> pass 1296@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1296@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1296@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1296@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1295)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1296@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1295)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1296@3d11daa8b17c: Final verdict of PTC: none TC_rr_response_frame_loss(1295)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1298@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1297)@3d11daa8b17c: setverdict(pass): none -> pass 1298@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1298@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1298@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1298@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1297)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1298@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1297)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1298@3d11daa8b17c: Final verdict of PTC: none TC_rr_response_frame_loss(1297)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1289)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1290)@3d11daa8b17c: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1288)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1288): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rr_response_frame_loss-RSL(1289): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1290): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_rr_response_frame_loss(1291): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1292: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rr_response_frame_loss(1293): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1294: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rr_response_frame_loss(1295): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1296: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_rr_response_frame_loss(1297): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1298: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_rr_response_frame_loss finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass'. Thu Sep 12 13:43:03 UTC 2024 ====== BTS_Tests_LAPDm.TC_rr_response_frame_loss pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4438750) Waiting for packet dumper to finish... 1 (prev_count=4438750, count=4439684) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Thu Sep 12 13:43:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL(1300)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL(1300)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1303@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1302)@3d11daa8b17c: setverdict(pass): none -> pass 1303@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1303@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1303@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1303@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1303@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1302)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1303@3d11daa8b17c: Final verdict of PTC: none TC_incorrect_cr(1302)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1305@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1304)@3d11daa8b17c: setverdict(pass): none -> pass 1305@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1305@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1305@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1305@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1305@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1304)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1305@3d11daa8b17c: Final verdict of PTC: none TC_incorrect_cr(1304)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1307@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1306)@3d11daa8b17c: setverdict(pass): none -> pass 1307@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1307@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1307@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1307@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1307@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1306)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1307@3d11daa8b17c: Final verdict of PTC: none TC_incorrect_cr(1306)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1309@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1308)@3d11daa8b17c: setverdict(pass): none -> pass 1309@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1309@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1309@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1309@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1309@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1308)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1309@3d11daa8b17c: Final verdict of PTC: none TC_incorrect_cr(1308)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1300)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1301)@3d11daa8b17c: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1299)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1299): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_incorrect_cr-RSL(1300): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1301): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_incorrect_cr(1302): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1303: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_incorrect_cr(1304): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1305: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_incorrect_cr(1306): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1307: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_incorrect_cr(1308): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1309: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_incorrect_cr finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Thu Sep 12 13:43:23 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16002709) Waiting for packet dumper to finish... 1 (prev_count=16002709, count=16003334) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Thu Sep 12 13:43:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1314@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1313)@3d11daa8b17c: setverdict(pass): none -> pass 1314@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1314@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1314@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1314@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1314@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1313)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1314@3d11daa8b17c: Final verdict of PTC: none TC_sabm_incorrect_c(1313)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1316@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1315)@3d11daa8b17c: setverdict(pass): none -> pass 1316@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1316@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1316@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1316@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1316@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1315)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1316@3d11daa8b17c: Final verdict of PTC: none TC_sabm_incorrect_c(1315)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1318@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1317)@3d11daa8b17c: setverdict(pass): none -> pass 1318@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1318@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1318@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1318@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1318@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1317)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1318@3d11daa8b17c: Final verdict of PTC: none TC_sabm_incorrect_c(1317)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1320@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1319)@3d11daa8b17c: setverdict(pass): none -> pass 1320@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1320@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1320@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1320@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1320@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1319)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1320@3d11daa8b17c: Final verdict of PTC: none TC_sabm_incorrect_c(1319)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_incorrect_c-RSL(1311)@3d11daa8b17c: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1310)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1312)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1310): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_incorrect_c-RSL(1311): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1312): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_incorrect_c(1313): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1314: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_incorrect_c(1315): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1316: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_incorrect_c(1317): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1318: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_sabm_incorrect_c(1319): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1320: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_sabm_incorrect_c finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass'. Thu Sep 12 13:43:32 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_incorrect_c pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5119587) Waiting for packet dumper to finish... 1 (prev_count=5119587, count=5120084) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Thu Sep 12 13:43:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1325@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1324)@3d11daa8b17c: setverdict(pass): none -> pass 1325@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1325@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1325@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1325@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1324)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1324)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1325@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1324)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1325@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment(1324)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1327@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1326)@3d11daa8b17c: setverdict(pass): none -> pass 1327@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1327@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1327@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1327@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1326)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1326)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1327@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1326)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1327@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment(1326)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1329@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1328)@3d11daa8b17c: setverdict(pass): none -> pass 1329@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1329@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1329@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1329@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1328)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1328)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1329@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1328)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1329@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment(1328)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1331@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1330)@3d11daa8b17c: setverdict(pass): none -> pass 1331@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1331@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1331@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1331@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1330)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1330)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1331@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1330)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1331@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment(1330)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment-RSL-IPA(1321)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1323)@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment-RSL(1322)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1321): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment-RSL(1322): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1323): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment(1324): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1325: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment(1326): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1327: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment(1328): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1329: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment(1330): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1331: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_normal_reestablishment finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass'. Thu Sep 12 13:43:59 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22425779) Waiting for packet dumper to finish... 1 (prev_count=22425779, count=22426276) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Thu Sep 12 13:44:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1336@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1335)@3d11daa8b17c: setverdict(pass): none -> pass 1336@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1336@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1336@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1336@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1335)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1336@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1335)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1336@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1335)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1338@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1337)@3d11daa8b17c: setverdict(pass): none -> pass 1338@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1338@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1338@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1338@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1337)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1338@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1337)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1338@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1337)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1340@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1339)@3d11daa8b17c: setverdict(pass): none -> pass 1340@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1340@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1340@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1340@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1339)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1340@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1339)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1340@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1339)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1342@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1341)@3d11daa8b17c: setverdict(pass): none -> pass 1342@3d11daa8b17c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1342@3d11daa8b17c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1342@3d11daa8b17c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1342@3d11daa8b17c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1341)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1342@3d11daa8b17c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1341)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed 1342@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1341)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@3d11daa8b17c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL(1333)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1334)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1332): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1333): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1334): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment_state_unacked(1335): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1336: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment_state_unacked(1337): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1338: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment_state_unacked(1339): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1340: none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_normal_reestablishment_state_unacked(1341): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC with component reference 1342: none (pass -> pass) MTC@3d11daa8b17c: Test case TC_normal_reestablishment_state_unacked finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass'. Thu Sep 12 13:44:17 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14791467) Waiting for packet dumper to finish... 1 (prev_count=14791467, count=14791964) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass' was executed successfully (exit status: 0). MC@3d11daa8b17c: Test execution finished. MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Thu Sep 12 13:44:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1346)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1347)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1346)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1346)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1347)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1347)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1348)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1349)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1348)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1348)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1349)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1349)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1350)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1351)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1350)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1350)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1351)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1351)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1352)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1353)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1352)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1352)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1353)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1353)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1344)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1343)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1345)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1343): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1344): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1345): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff(1346): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff(1347): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff(1348): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff(1349): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff(1350): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff(1351): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff(1352): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vff(1353): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Thu Sep 12 13:44:24 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2853271) Waiting for packet dumper to finish... 1 (prev_count=2853271, count=2858881) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Thu Sep 12 13:44:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh(1357)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1358)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1359)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1360)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1357)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1357)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1358)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1358)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1359)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1359)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1360)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1360)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1361)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1362)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1363)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1364)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1361)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1361)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1362)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1362)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1363)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1363)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1364)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1364)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1365)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1366)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1367)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1368)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1365)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1365)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1366)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1366)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1367)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1367)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1368)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1368)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1369)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1370)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1371)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1372)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1369)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1369)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1370)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1370)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1371)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1371)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1372)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1372)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1355)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1356)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1354)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1354): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1355): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1356): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1357): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1358): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1359): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1360): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1361): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1362): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1363): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1364): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1365): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1366): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1367): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1368): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1369): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1370): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1371): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_vhh(1372): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Thu Sep 12 13:44:31 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3055701) Waiting for packet dumper to finish... 1 (prev_count=3055701, count=3056198) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Thu Sep 12 13:44:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh(1376)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1377)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1378)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1376)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1376)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1377)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1377)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1378)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1378)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1379)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1380)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1381)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1379)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1379)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1380)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1380)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1381)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1381)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1382)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1383)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1384)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1382)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1382)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1383)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1383)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1384)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1384)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1385)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1386)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1387)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1385)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1385)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1386)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1386)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1387)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1387)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1374)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1375)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1373)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1373): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1374): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1375): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1376): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1377): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1378): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1379): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1380): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1381): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1382): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1383): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1384): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1385): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1386): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_hvhh(1387): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Thu Sep 12 13:44:38 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2955997) Waiting for packet dumper to finish... 1 (prev_count=2955997, count=2956494) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Thu Sep 12 13:44:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff(1391)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1392)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1391)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1391)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1392)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1392)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1393)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1394)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1393)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1393)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1394)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1394)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1395)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1396)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1395)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1395)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1396)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1396)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1397)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1398)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1397)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1397)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1398)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1398)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1390)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1389): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1390): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1391): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1392): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1393): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1394): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1395): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1396): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1397): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1398): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Thu Sep 12 13:44:45 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2850717) Waiting for packet dumper to finish... 1 (prev_count=2850717, count=2851214) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Thu Sep 12 13:44:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1402)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1403)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1402)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1402)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1403)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1403)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1404)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1405)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1404)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1404)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1405)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1405)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1406)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1407)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1406)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1406)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1407)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1407)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1408)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1409)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1408)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1408)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1409)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1409)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1401)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1400): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1401): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1402): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1403): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1404): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1405): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1406): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1407): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1408): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1409): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Thu Sep 12 13:44:52 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2854199) Waiting for packet dumper to finish... 1 (prev_count=2854199, count=2854696) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Thu Sep 12 13:44:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1413)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1414)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1415)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1416)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1413)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1413)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1414)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1414)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1415)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1415)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1416)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1416)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1417)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1418)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1419)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1420)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1417)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1417)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1418)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1418)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1419)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1419)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1420)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1420)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1421)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1422)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1423)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1424)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1421)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1421)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1422)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1422)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1423)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1423)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1424)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1424)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1425)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1426)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1427)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1428)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1425)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1425)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1426)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1426)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1427)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1427)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1428)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1428)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1412)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1412): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1413): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1414): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1415): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1416): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1417): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1418): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1419): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1420): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1421): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1422): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1423): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1424): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1425): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1426): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1427): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1428): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Thu Sep 12 13:44:59 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3062428) Waiting for packet dumper to finish... 1 (prev_count=3062428, count=3062925) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Thu Sep 12 13:45:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1432)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1433)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1434)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1432)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1432)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1433)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1433)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1434)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1434)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1435)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1436)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1437)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1435)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1435)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1436)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1436)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1437)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1437)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1438)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1439)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1440)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1438)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1438)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1439)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1439)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1440)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1440)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1441)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1442)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1443)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1441)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1441)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1442)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1442)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1443)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1443)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1431)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1431): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1432): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1433): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1434): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1435): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1436): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1437): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1438): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1439): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1440): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1441): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1442): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1443): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Thu Sep 12 13:45:06 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2954518) Waiting for packet dumper to finish... 1 (prev_count=2954518, count=2955015) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Thu Sep 12 13:45:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1447)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1447)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1447)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1447)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1448)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1448)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1448)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1448)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1449)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1449)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1449)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1449)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1450)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1450)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1450)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1450)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1451)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1451)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1451)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1451)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1452)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1452)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1452)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1452)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1453)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1453)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1453)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1453)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1454)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1454)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1454)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1454)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vff-RSL(1445)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1446)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1444): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1445): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1446): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1447): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1448): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1449): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1450): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1451): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1452): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1453): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1454): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Thu Sep 12 13:45:12 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2099521) Waiting for packet dumper to finish... 1 (prev_count=2099521, count=2100018) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Thu Sep 12 13:45:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh(1458)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1458)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1458)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1458)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1459)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1459)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1459)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1459)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1460)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1460)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1460)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1460)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1461)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1461)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1461)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1461)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1462)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1462)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1462)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1462)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1463)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1463)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1463)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1463)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1464)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1464)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1464)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1464)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1465)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1465)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1466)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1466)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1466)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1466)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1467)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1467)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1467)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1467)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1468)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1468)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1468)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1468)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1469)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1469)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1469)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1469)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1470)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1470)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1470)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1470)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1471)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1471)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1471)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1471)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1472)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1472)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1472)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1472)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1473)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1473)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1473)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1473)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1456)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1457)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1456): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1457): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1458): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1459): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1460): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1461): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1462): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1463): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1464): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1465): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1466): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1467): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1468): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1469): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1470): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1471): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1472): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1473): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Thu Sep 12 13:45:17 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2267613) Waiting for packet dumper to finish... 1 (prev_count=2267613, count=2268110) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Thu Sep 12 13:45:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh(1477)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1477)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1477)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1477)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1478)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1478)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1478)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1478)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1479)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1479)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1479)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1479)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1480)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1480)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1480)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1480)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1481)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1481)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1481)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1481)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1482)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1482)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1482)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1482)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1483)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1483)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1483)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1483)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1484)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1484)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1484)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1484)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1485)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1485)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1485)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1485)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1486)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1486)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1486)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1486)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1487)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1487)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1487)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1487)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1488)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1488)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1488)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1488)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1475)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1476)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1475): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1476): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1477): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1478): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1479): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1480): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1481): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1482): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1483): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1484): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1485): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1486): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1487): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1488): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Thu Sep 12 13:45:23 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2189298) Waiting for packet dumper to finish... 1 (prev_count=2189298, count=2189795) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Thu Sep 12 13:45:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1491)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1491): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1492): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1493): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1494): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1495): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1496): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1497): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1498): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1499): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Thu Sep 12 13:45:29 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2099148) Waiting for packet dumper to finish... 1 (prev_count=2099148, count=2099645) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Thu Sep 12 13:45:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1502)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1502): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1503): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1504): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1505): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1506): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1507): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1508): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1509): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1510): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Thu Sep 12 13:45:35 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2112671) Waiting for packet dumper to finish... 1 (prev_count=2112671, count=2117844) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Thu Sep 12 13:45:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1513)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1513): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Thu Sep 12 13:45:40 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2301494) Waiting for packet dumper to finish... 1 (prev_count=2301494, count=2301991) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Thu Sep 12 13:45:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@3d11daa8b17c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@3d11daa8b17c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@3d11daa8b17c: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1532)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1532): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533): pass (none -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543): pass (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544): pass (pass -> pass) MTC@3d11daa8b17c: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Thu Sep 12 13:45:46 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2211093) Waiting for packet dumper to finish... 1 (prev_count=2211093, count=2211590) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@3d11daa8b17c: Test execution finished. MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Thu Sep 12 13:45:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL(1546)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL(1546)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vbs_notification-RSL(1546)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1546)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL(1546)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1546)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1546)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL(1546)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1546)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vbs_notification-RSL(1546)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1546)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1546)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E5040083'O MTC@3d11daa8b17c: Sending RSL NOTIF_CMD (start) MTC@3d11daa8b17c: Received matching NOTIFICATION/NCH. MTC@3d11daa8b17c: Received matching NOTIFICATION/NCH. MTC@3d11daa8b17c: Sending RSL NOTIF_CMD (stop) MTC@3d11daa8b17c: Not received NOTIFICATION/NCH. (as expected) MTC@3d11daa8b17c: setverdict(pass): none -> pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":134 TC_vbs_notification-RSL(1546)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1547)@3d11daa8b17c: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1545)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: pass MTC@3d11daa8b17c: Local verdict of PTC TC_vbs_notification-RSL-IPA(1545): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC TC_vbs_notification-RSL(1546): none (pass -> pass) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1547): none (pass -> pass) MTC@3d11daa8b17c: Test case TC_vbs_notification finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass'. Thu Sep 12 13:45:55 UTC 2024 ====== BTS_Tests_ASCI.TC_vbs_notification pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5083559) Waiting for packet dumper to finish... 1 (prev_count=5083559, count=5084056) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Thu Sep 12 13:45:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): none -> pass TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Send UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Send UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 2, m := false, el := 1, payload := '062A'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Received UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":197 TC_vgcs_uplink_free_and_busy-RSL(1549)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1550)@3d11daa8b17c: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1548): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1549): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1550): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1551): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass'. Thu Sep 12 13:46:01 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2561503) Waiting for packet dumper to finish... 1 (prev_count=2561503, count=2562000) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Thu Sep 12 13:46:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1555)@3d11daa8b17c: Activating VGCS channel. TC_vgcs_talker_fail(1555)@3d11daa8b17c: setverdict(pass): none -> pass TC_vgcs_talker_fail(1555)@3d11daa8b17c: Send UPLINK FREE. TC_vgcs_talker_fail(1555)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_fail(1555)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_talker_fail(1555)@3d11daa8b17c: Send UPLINK ACCESS. TC_vgcs_talker_fail(1555)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1555)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1555)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_fail(1555)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@3d11daa8b17c: Received VGCS UPLINK GRANT. TC_vgcs_talker_fail(1555)@3d11daa8b17c: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_fail(1555)@3d11daa8b17c: RSL Conn Fail Ind has been detected as expected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } TC_vgcs_talker_fail(1555)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":297 TC_vgcs_talker_fail-RSL(1553)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1554)@3d11daa8b17c: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1552)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1552): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_talker_fail-RSL(1553): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1554): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_talker_fail(1555): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_vgcs_talker_fail finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass'. Thu Sep 12 13:46:22 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_fail pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19738161) Waiting for packet dumper to finish... 1 (prev_count=19738161, count=19738658) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Thu Sep 12 13:46:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Activating VGCS channel. TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: setverdict(pass): none -> pass TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Send UPLINK FREE. TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Send UPLINK ACCESS. TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Received VGCS UPLINK GRANT. TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Send UPLINK FREE. TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Rx LAPDm { bter := { payload := '0353012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Received UPLINK FREE. TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":340 TC_vgcs_talker_est_rel-RSL(1557)@3d11daa8b17c: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1556)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1558)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1556): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1557): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1558): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_talker_est_rel(1559): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_vgcs_talker_est_rel finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass'. Thu Sep 12 13:46:28 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2327822) Waiting for packet dumper to finish... 1 (prev_count=2327822, count=2328319) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Thu Sep 12 13:46:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@3d11daa8b17c: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@3d11daa8b17c: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@3d11daa8b17c: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@3d11daa8b17c: 2/4 transceiver(s) connected MTC@3d11daa8b17c: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@3d11daa8b17c: 3/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@3d11daa8b17c: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@3d11daa8b17c: 4/4 transceiver(s) connected MTC@3d11daa8b17c: 4/4 RF Resource Indication(s) received MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@3d11daa8b17c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@3d11daa8b17c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1563)@3d11daa8b17c: Activating VGCS channel. TC_vgcs_listener_det(1563)@3d11daa8b17c: setverdict(pass): none -> pass TC_vgcs_listener_det(1563)@3d11daa8b17c: Send UPLINK ACCESS. TC_vgcs_listener_det(1563)@3d11daa8b17c: RSL Talker Listener has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_LISTENER_DET (54), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_listener_det(1563)@3d11daa8b17c: Send second UPLINK ACCESS. TC_vgcs_listener_det(1563)@3d11daa8b17c: Timeout waiting for RSL Listener Detect, as expected. TC_vgcs_listener_det(1563)@3d11daa8b17c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_listener_det(1563)@3d11daa8b17c: Final verdict of PTC: pass MTC@3d11daa8b17c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":418 TC_vgcs_listener_det-RSL(1561)@3d11daa8b17c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1562)@3d11daa8b17c: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1560)@3d11daa8b17c: Final verdict of PTC: none MTC@3d11daa8b17c: Setting final verdict of the test case. MTC@3d11daa8b17c: Local verdict of MTC: none MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1560): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_listener_det-RSL(1561): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC IPA-CTRL-CLI-IPA(1562): none (none -> none) MTC@3d11daa8b17c: Local verdict of PTC TC_vgcs_listener_det(1563): pass (none -> pass) MTC@3d11daa8b17c: Test case TC_vgcs_listener_det finished. Verdict: pass MTC@3d11daa8b17c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass'. Thu Sep 12 13:46:36 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_listener_det pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4408783) Waiting for packet dumper to finish... 1 (prev_count=4408783, count=4409280) MTC@3d11daa8b17c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass' was executed successfully (exit status: 0). MC@3d11daa8b17c: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@3d11daa8b17c: Terminating MTC. MC@3d11daa8b17c: MTC terminated. MC2> exit MC@3d11daa8b17c: Shutting down session. MC@3d11daa8b17c: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass BTS_Tests.TC_meas_res_speech_tchh pass BTS_Tests.TC_meas_res_speech_tchh_facch pass BTS_Tests.TC_meas_res_speech_tchh_toa256 pass BTS_Tests.TC_meas_res_sign_tchf pass BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass BTS_Tests.TC_meas_res_sign_sdcch8 pass BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass->FAIL BTS_Tests.TC_pcu_time_ind pass->FAIL BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass->FAIL BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass BTS_Tests.TC_rll_rel_ind_ACCH_0 pass BTS_Tests.TC_rll_rel_ind_ACCH_3 pass BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass BTS_Tests.TC_speech_no_rtp_tchf pass BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass BTS_Tests.TC_early_immediate_assignment pass BTS_Tests.TC_acch_overpower_rxqual_thresh pass BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass BTS_Tests.TC_acch_overpower_always_on_facch pass BTS_Tests.TC_acch_overpower_always_on_sacch pass BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass BTS_Tests_LAPDm.TC_iframe_timer_recovery pass BTS_Tests_LAPDm.TC_ns_seq_error pass BTS_Tests_LAPDm.TC_nr_seq_error pass BTS_Tests_LAPDm.TC_rec_invalid_frame pass BTS_Tests_LAPDm.TC_segm_concat_dcch pass BTS_Tests_LAPDm.TC_segm_concat_sacch pass BTS_Tests_LAPDm.TC_t200_n200 pass BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass BTS_Tests_LAPDm.TC_sabm_incorrect_c pass BTS_Tests_LAPDm.TC_normal_reestablishment pass BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass BTS_Tests_ASCI.TC_vbs_notification pass BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass BTS_Tests_ASCI.TC_vgcs_talker_fail pass BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 5 xfail: 5 pass: 232 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-trxcon + docker kill jenkins-ttcn3-bts-test-asan-95-trxcon jenkins-ttcn3-bts-test-asan-95-trxcon + docker wait jenkins-ttcn3-bts-test-asan-95-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-fake_trx + docker kill jenkins-ttcn3-bts-test-asan-95-fake_trx jenkins-ttcn3-bts-test-asan-95-fake_trx + docker wait jenkins-ttcn3-bts-test-asan-95-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-bts + docker kill jenkins-ttcn3-bts-test-asan-95-bts jenkins-ttcn3-bts-test-asan-95-bts + docker wait jenkins-ttcn3-bts-test-asan-95-bts 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-bsc + docker kill jenkins-ttcn3-bts-test-asan-95-bsc jenkins-ttcn3-bts-test-asan-95-bsc + docker wait jenkins-ttcn3-bts-test-asan-95-bsc 137 + start_config_virtphy + test_config_enabled virtphy + local config=virtphy + local i + local valid=0 + [ virtphy = generic ] + [ virtphy = virtphy ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ virtphy = generic ] + [ virtphy = oml ] + [ virtphy = hopping ] + return 1 + return + start_config_oml + test_config_enabled oml + local config=oml + local i + local valid=0 + [ oml = generic ] + [ oml = virtphy ] + [ oml = oml ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ oml = generic ] + [ oml = oml ] + return 0 + cp oml/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/osmo-bts.gen.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 37 20 + NET=37 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-37 --ip 172.18.37.20 --ip6 fd02:db8:37::20 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.20 --ip6 fd02:db8:37::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-asan-95-bts -d osmocom-build/osmo-bts-asan /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 8d932af2f473772dfd4ac12585b95f2a93c3e2e0ef0e919287a8f717cbeb695f + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 37 21 + NET=37 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-37 --ip 172.18.37.21 --ip6 fd02:db8:37::21 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.21 --ip6 fd02:db8:37::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-asan-95-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.37.20 -r 172.18.37.22 --trx TRX1@172.18.37.20:5700/1 --trx TRX2@172.18.37.20:5700/2 --trx TRX3@172.18.37.20:5700/3 >>/data/fake_trx.out 2>&1 7fa40f6f1ca931f90df5012dd3d8870012c9619b47708c686e44f7461d8c2eec + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 37 22 + NET=37 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-37 --ip 172.18.37.22 --ip6 fd02:db8:37::22 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.22 --ip6 fd02:db8:37::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-95-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.37.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 e49820787d3168eedb79c10f5d7de431ad5314d64be7542d28f878ef7642bd1f + start_testsuite oml + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=oml + docker_network_params 37 10 + NET=37 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-37 --ip 172.18.37.10 --ip6 fd02:db8:37::10 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.10 --ip6 fd02:db8:37::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.37.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-95-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@b357b2ea1d11: Unix server socket created successfully. MC@b357b2ea1d11: Listening on TCP port 42817. b357b2ea1d11 is the default MC2> spawn /osmo-ttcn3-hacks/bts/BTS_Tests b357b2ea1d11 42817 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@b357b2ea1d11: New HC connected from 172.18.37.10 [172.18.37.10]. b357b2ea1d11: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@b357b2ea1d11: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@b357b2ea1d11: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@b357b2ea1d11: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@b357b2ea1d11: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@b357b2ea1d11: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@b357b2ea1d11: Configuration file was processed on all HCs. MC@b357b2ea1d11: Creating MTC on host 172.18.37.10. MC@b357b2ea1d11: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc'. ------ BTS_Tests_OML.TC_wrong_mdisc ------ Thu Sep 12 13:47:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_mdisc.pcap" >/data/BTS_Tests_OML.TC_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_wrong_mdisc started. TC_wrong_mdisc-OML-IPA(3)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_wrong_mdisc-OML-IPA(3)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_mdisc-OML-IPA(3)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_mdisc-OML-IPA(3)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_mdisc-OML-IPA(3)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_mdisc-OML-IPA(3)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_mdisc-OML-IPA(3)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_wrong_mdisc-OML-IPA(3): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_wrong_mdisc finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass'. Thu Sep 12 13:47:12 UTC 2024 ====== BTS_Tests_OML.TC_wrong_mdisc pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=209651) Waiting for packet dumper to finish... 1 (prev_count=209651, count=210240) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype'. ------ BTS_Tests_OML.TC_wrong_msgtype ------ Thu Sep 12 13:47:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_msgtype.pcap" >/data/BTS_Tests_OML.TC_wrong_msgtype.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_wrong_msgtype started. TC_wrong_msgtype-OML-IPA(4)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_wrong_msgtype-OML-IPA(4)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_msgtype-OML-IPA(4)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_msgtype-OML-IPA(4)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_msgtype-OML-IPA(4)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_msgtype-OML-IPA(4)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_msgtype-OML-IPA(4)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_wrong_msgtype-OML-IPA(4): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_wrong_msgtype finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass'. Thu Sep 12 13:47:17 UTC 2024 ====== BTS_Tests_OML.TC_wrong_msgtype pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_wrong_msgtype.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=325136) Waiting for packet dumper to finish... 1 (prev_count=325136, count=325633) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length'. ------ BTS_Tests_OML.TC_short_length ------ Thu Sep 12 13:47:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_short_length.pcap" >/data/BTS_Tests_OML.TC_short_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_short_length started. TC_short_length-OML-IPA(5)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_short_length-OML-IPA(5)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_short_length-OML-IPA(5)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_short_length-OML-IPA(5)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_short_length-OML-IPA(5)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_short_length-OML-IPA(5)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_short_length-OML-IPA(5)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_short_length-OML-IPA(5): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_short_length finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass'. Thu Sep 12 13:47:22 UTC 2024 ====== BTS_Tests_OML.TC_short_length pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_short_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321377) Waiting for packet dumper to finish... 1 (prev_count=321377, count=321874) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length'. ------ BTS_Tests_OML.TC_long_length ------ Thu Sep 12 13:47:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_long_length.pcap" >/data/BTS_Tests_OML.TC_long_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_long_length started. TC_long_length-OML-IPA(6)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_long_length-OML-IPA(6)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_long_length-OML-IPA(6)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_long_length-OML-IPA(6)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_long_length-OML-IPA(6)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_long_length-OML-IPA(6)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_long_length-OML-IPA(6)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_long_length-OML-IPA(6): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_long_length finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass'. Thu Sep 12 13:47:27 UTC 2024 ====== BTS_Tests_OML.TC_long_length pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_long_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=325915) Waiting for packet dumper to finish... 1 (prev_count=325915, count=326412) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement'. ------ BTS_Tests_OML.TC_wrong_placement ------ Thu Sep 12 13:47:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_placement.pcap" >/data/BTS_Tests_OML.TC_wrong_placement.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_wrong_placement started. TC_wrong_placement-OML-IPA(7)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_wrong_placement-OML-IPA(7)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_placement-OML-IPA(7)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_placement-OML-IPA(7)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_placement-OML-IPA(7)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_placement-OML-IPA(7)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass, component reason not changed MTC@b357b2ea1d11: setverdict(pass): pass -> pass, component reason not changed MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_placement-OML-IPA(7)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_wrong_placement-OML-IPA(7): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_wrong_placement finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass'. Thu Sep 12 13:47:32 UTC 2024 ====== BTS_Tests_OML.TC_wrong_placement pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_wrong_placement.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=328614) Waiting for packet dumper to finish... 1 (prev_count=328614, count=329203) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq'. ------ BTS_Tests_OML.TC_wrong_seq ------ Thu Sep 12 13:47:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_seq.pcap" >/data/BTS_Tests_OML.TC_wrong_seq.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_wrong_seq started. TC_wrong_seq-OML-IPA(8)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_wrong_seq-OML-IPA(8)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_seq-OML-IPA(8)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_seq-OML-IPA(8)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_seq-OML-IPA(8)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_seq-OML-IPA(8)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_seq-OML-IPA(8)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_wrong_seq-OML-IPA(8): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_wrong_seq finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass'. Thu Sep 12 13:47:37 UTC 2024 ====== BTS_Tests_OML.TC_wrong_seq pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_wrong_seq.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321976) Waiting for packet dumper to finish... 1 (prev_count=321976, count=322473) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class'. ------ BTS_Tests_OML.TC_wrong_obj_class ------ Thu Sep 12 13:47:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_obj_class.pcap" >/data/BTS_Tests_OML.TC_wrong_obj_class.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_wrong_obj_class started. TC_wrong_obj_class-OML-IPA(9)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_wrong_obj_class-OML-IPA(9)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_obj_class-OML-IPA(9)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_obj_class-OML-IPA(9)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_obj_class-OML-IPA(9)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_obj_class-OML-IPA(9)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_obj_class-OML-IPA(9)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_wrong_obj_class-OML-IPA(9): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_wrong_obj_class finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass'. Thu Sep 12 13:47:42 UTC 2024 ====== BTS_Tests_OML.TC_wrong_obj_class pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_wrong_obj_class.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=320919) Waiting for packet dumper to finish... 1 (prev_count=320919, count=321416) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr'. ------ BTS_Tests_OML.TC_wrong_bts_nr ------ Thu Sep 12 13:47:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_wrong_bts_nr started. TC_wrong_bts_nr-OML-IPA(10)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_wrong_bts_nr-OML-IPA(10)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_bts_nr-OML-IPA(10)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_bts_nr-OML-IPA(10)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_bts_nr-OML-IPA(10)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_bts_nr-OML-IPA(10)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_bts_nr-OML-IPA(10)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_wrong_bts_nr-OML-IPA(10): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_wrong_bts_nr finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass'. Thu Sep 12 13:47:47 UTC 2024 ====== BTS_Tests_OML.TC_wrong_bts_nr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_wrong_bts_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=326190) Waiting for packet dumper to finish... 1 (prev_count=326190, count=326687) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr'. ------ BTS_Tests_OML.TC_wrong_trx_nr ------ Thu Sep 12 13:47:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_wrong_trx_nr started. TC_wrong_trx_nr-OML-IPA(11)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_wrong_trx_nr-OML-IPA(11)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_trx_nr-OML-IPA(11)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_trx_nr-OML-IPA(11)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_trx_nr-OML-IPA(11)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_trx_nr-OML-IPA(11)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_trx_nr-OML-IPA(11)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_wrong_trx_nr-OML-IPA(11): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_wrong_trx_nr finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass'. Thu Sep 12 13:47:52 UTC 2024 ====== BTS_Tests_OML.TC_wrong_trx_nr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_wrong_trx_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324329) Waiting for packet dumper to finish... 1 (prev_count=324329, count=324826) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr'. ------ BTS_Tests_OML.TC_radio_carrier_opstart_noattr ------ Thu Sep 12 13:47:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_radio_carrier_opstart_noattr started. TC_radio_carrier_opstart_noattr-OML-IPA(12)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_radio_carrier_opstart_noattr-OML-IPA(12)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_radio_carrier_opstart_noattr-OML-IPA(12)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_radio_carrier_opstart_noattr-OML-IPA(12)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_radio_carrier_opstart_noattr-OML-IPA(12): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_radio_carrier_opstart_noattr finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass'. Thu Sep 12 13:47:57 UTC 2024 ====== BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_radio_carrier_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321205) Waiting for packet dumper to finish... 1 (prev_count=321205, count=321702) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart'. ------ BTS_Tests_OML.TC_bts_opstart ------ Thu Sep 12 13:48:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart.pcap" >/data/BTS_Tests_OML.TC_bts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_bts_opstart started. TC_bts_opstart-OML-IPA(13)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_bts_opstart-OML-IPA(13)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart-OML-IPA(13)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart-OML-IPA(13)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart-OML-IPA(13)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart-OML-IPA(13)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass, component reason not changed MTC@b357b2ea1d11: setverdict(pass): pass -> pass, component reason not changed MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart-OML-IPA(13)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_bts_opstart-OML-IPA(13): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_bts_opstart finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass'. Thu Sep 12 13:48:02 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_bts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=349538) Waiting for packet dumper to finish... 1 (prev_count=349538, count=350219) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr'. ------ BTS_Tests_OML.TC_bts_opstart_noattr ------ Thu Sep 12 13:48:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_bts_opstart_noattr started. TC_bts_opstart_noattr-OML-IPA(14)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_bts_opstart_noattr-OML-IPA(14)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart_noattr-OML-IPA(14)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart_noattr-OML-IPA(14)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart_noattr-OML-IPA(14)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart_noattr-OML-IPA(14)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart_noattr-OML-IPA(14)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_bts_opstart_noattr-OML-IPA(14): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_bts_opstart_noattr finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass'. Thu Sep 12 13:48:07 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart_noattr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_bts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324593) Waiting for packet dumper to finish... 1 (prev_count=324593, count=325090) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart'. ------ BTS_Tests_OML.TC_ts_opstart ------ Thu Sep 12 13:48:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart.pcap" >/data/BTS_Tests_OML.TC_ts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_ts_opstart started. TC_ts_opstart-OML-IPA(15)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_ts_opstart-OML-IPA(15)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart-OML-IPA(15)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart-OML-IPA(15)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart-OML-IPA(15)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart-OML-IPA(15)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass, component reason not changed MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart-OML-IPA(15)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_ts_opstart-OML-IPA(15): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_ts_opstart finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass'. Thu Sep 12 13:48:12 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_ts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=332790) Waiting for packet dumper to finish... 1 (prev_count=332790, count=333287) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr'. ------ BTS_Tests_OML.TC_ts_opstart_noattr ------ Thu Sep 12 13:48:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_ts_opstart_noattr started. TC_ts_opstart_noattr-OML-IPA(16)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_ts_opstart_noattr-OML-IPA(16)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart_noattr-OML-IPA(16)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart_noattr-OML-IPA(16)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart_noattr-OML-IPA(16)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart_noattr-OML-IPA(16)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart_noattr-OML-IPA(16)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_ts_opstart_noattr-OML-IPA(16): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_ts_opstart_noattr finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass'. Thu Sep 12 13:48:17 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart_noattr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_ts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321477) Waiting for packet dumper to finish... 1 (prev_count=321477, count=321974) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports'. ------ BTS_Tests_OML.TC_initial_state_reports ------ Thu Sep 12 13:48:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_initial_state_reports.pcap" >/data/BTS_Tests_OML.TC_initial_state_reports.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_initial_state_reports started. TC_initial_state_reports-OML-IPA(17)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_initial_state_reports-OML-IPA(17)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_initial_state_reports-OML-IPA(17)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_initial_state_reports-OML-IPA(17)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_initial_state_reports-OML-IPA(17)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } TC_initial_state_reports-OML-IPA(17)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", new component reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_initial_state_reports-OML-IPA(17)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@b357b2ea1d11: Local verdict of PTC TC_initial_state_reports-OML-IPA(17): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_initial_state_reports finished. Verdict: pass reason: "BTS_Tests_OML.ttcn:158 : " MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass'. Thu Sep 12 13:48:22 UTC 2024 ====== BTS_Tests_OML.TC_initial_state_reports pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_initial_state_reports.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321149) Waiting for packet dumper to finish... 1 (prev_count=321149, count=321646) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd'. ------ BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd ------ Thu Sep 12 13:48:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap" >/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_ipa_osmo_pcu_anr_fwd started. TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: BTS Features:'01101110111111111111111111110000'B MTC@b357b2ea1d11: setverdict(pass): pass -> pass, component reason not changed MTC@b357b2ea1d11: setverdict(pass): pass -> pass, component reason not changed MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_ipa_osmo_pcu_anr_fwd finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass'. Thu Sep 12 13:48:27 UTC 2024 ====== BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=329213) Waiting for packet dumper to finish... 1 (prev_count=329213, count=329710) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack'. ------ BTS_Tests_OML.TC_ipa_rsl_connect_nack ------ Thu Sep 12 13:48:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap" >/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack' was executed successfully (exit status: 0). MTC@b357b2ea1d11: Test case TC_ipa_rsl_connect_nack started. TC_ipa_rsl_connect_nack-OML-IPA(19)@b357b2ea1d11: Established a new IPA connection (conn_id=2) TC_ipa_rsl_connect_nack-OML-IPA(19)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@b357b2ea1d11: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_rsl_connect_nack-OML-IPA(19)@b357b2ea1d11: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_rsl_connect_nack-OML-IPA(19)@b357b2ea1d11: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@b357b2ea1d11: setverdict(pass): none -> pass MTC@b357b2ea1d11: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@b357b2ea1d11: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_rsl_connect_nack-OML-IPA(19)@b357b2ea1d11: Final verdict of PTC: none MTC@b357b2ea1d11: Setting final verdict of the test case. MTC@b357b2ea1d11: Local verdict of MTC: pass MTC@b357b2ea1d11: Local verdict of PTC TC_ipa_rsl_connect_nack-OML-IPA(19): none (pass -> pass) MTC@b357b2ea1d11: Test case TC_ipa_rsl_connect_nack finished. Verdict: pass MTC@b357b2ea1d11: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass'. Thu Sep 12 13:48:32 UTC 2024 ====== BTS_Tests_OML.TC_ipa_rsl_connect_nack pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_OML.TC_ipa_rsl_connect_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321659) Waiting for packet dumper to finish... 1 (prev_count=321659, count=322156) MTC@b357b2ea1d11: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass' was executed successfully (exit status: 0). MC@b357b2ea1d11: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@b357b2ea1d11: Terminating MTC. MC@b357b2ea1d11: MTC terminated. MC2> exit MC@b357b2ea1d11: Shutting down session. MC@b357b2ea1d11: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-oml-21.log' -------------------- NEW: PASS BTS_Tests_OML.TC_wrong_mdisc NEW: PASS BTS_Tests_OML.TC_wrong_msgtype NEW: PASS BTS_Tests_OML.TC_short_length NEW: PASS BTS_Tests_OML.TC_long_length NEW: PASS BTS_Tests_OML.TC_wrong_placement NEW: PASS BTS_Tests_OML.TC_wrong_seq NEW: PASS BTS_Tests_OML.TC_wrong_obj_class NEW: PASS BTS_Tests_OML.TC_wrong_bts_nr NEW: PASS BTS_Tests_OML.TC_wrong_trx_nr NEW: PASS BTS_Tests_OML.TC_radio_carrier_opstart_noattr NEW: PASS BTS_Tests_OML.TC_bts_opstart NEW: PASS BTS_Tests_OML.TC_bts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_ts_opstart NEW: PASS BTS_Tests_OML.TC_ts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_initial_state_reports NEW: PASS BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd NEW: PASS BTS_Tests_OML.TC_ipa_rsl_connect_nack Summary: NEW: PASS: 17 skip: 242 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_bts_opstart.merged Generated BTS_Tests.TC_bts_opstart_noattr.merged Generated BTS_Tests.TC_initial_state_reports.merged Generated BTS_Tests.TC_ipa_osmo_pcu_anr_fwd.merged Generated BTS_Tests.TC_ipa_rsl_connect_nack.merged Generated BTS_Tests.TC_long_length.merged Generated BTS_Tests.TC_radio_carrier_opstart_noattr.merged Generated BTS_Tests.TC_short_length.merged Generated BTS_Tests.TC_ts_opstart.merged Generated BTS_Tests.TC_ts_opstart_noattr.merged Generated BTS_Tests.TC_wrong_bts_nr.merged Generated BTS_Tests.TC_wrong_mdisc.merged Generated BTS_Tests.TC_wrong_msgtype.merged Generated BTS_Tests.TC_wrong_obj_class.merged Generated BTS_Tests.TC_wrong_placement.merged Generated BTS_Tests.TC_wrong_seq.merged Generated BTS_Tests.TC_wrong_trx_nr.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-trxcon + docker kill jenkins-ttcn3-bts-test-asan-95-trxcon jenkins-ttcn3-bts-test-asan-95-trxcon + docker wait jenkins-ttcn3-bts-test-asan-95-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-fake_trx + docker kill jenkins-ttcn3-bts-test-asan-95-fake_trx jenkins-ttcn3-bts-test-asan-95-fake_trx + docker wait jenkins-ttcn3-bts-test-asan-95-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-bts + docker kill jenkins-ttcn3-bts-test-asan-95-bts jenkins-ttcn3-bts-test-asan-95-bts + docker wait jenkins-ttcn3-bts-test-asan-95-bts 137 + start_config_hopping + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + cp fh/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/ + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/osmo-bts.gen.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=37 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 37 11 + NET=37 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-37 --ip 172.18.37.11 --ip6 fd02:db8:37::11 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.11 --ip6 fd02:db8:37::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc:/data --name jenkins-ttcn3-bts-test-asan-95-bsc -d osmocom-build/osmo-bsc-asan /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 b1253615b28ec87f5732238715f677d44425b4d92a526de2b9963d2c6428b6ed + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 37 20 + NET=37 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-37 --ip 172.18.37.20 --ip6 fd02:db8:37::20 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.20 --ip6 fd02:db8:37::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-asan-95-bts -d osmocom-build/osmo-bts-asan /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 12c87597a57339c6f72a414e5fc2139d651b5165b689852b9e2b5f57e7be73f4 + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 37 21 + NET=37 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-37 --ip 172.18.37.21 --ip6 fd02:db8:37::21 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.21 --ip6 fd02:db8:37::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-asan-95-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.37.20 -r 172.18.37.22 --trx TRX1@172.18.37.20:5700/1 --trx TRX2@172.18.37.20:5700/2 --trx TRX3@172.18.37.20:5700/3 >>/data/fake_trx.out 2>&1 8f52a3f7e2bc0a49c3ca61f48e1ce76740209089b5194facf1839d655d39908d + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 37 22 + NET=37 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-37 --ip 172.18.37.22 --ip6 fd02:db8:37::22 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.22 --ip6 fd02:db8:37::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-95-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.37.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 36222b6c540ac0d15fefc7df5146fac59f3227db19ecdf66af1e495b0406a74e + start_testsuite hopping + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=hopping + docker_network_params 37 10 + NET=37 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-37 --ip 172.18.37.10 --ip6 fd02:db8:37::10 + docker run --rm --network ttcn3-bts-test-37 --ip 172.18.37.10 --ip6 fd02:db8:37::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.37.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-95-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@2da1c7ca7d3a: Unix server socket created successfully. MC@2da1c7ca7d3a: Listening on TCP port 41759. 2da1c7ca7d3a is the default MC2> spawn /osmo-ttcn3-hacks/bts/BTS_Tests 2da1c7ca7d3a 41759 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@2da1c7ca7d3a: New HC connected from 172.18.37.10 [172.18.37.10]. 2da1c7ca7d3a: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@2da1c7ca7d3a: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@2da1c7ca7d3a: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@2da1c7ca7d3a: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@2da1c7ca7d3a: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@2da1c7ca7d3a: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@2da1c7ca7d3a: Configuration file was processed on all HCs. MC@2da1c7ca7d3a: Creating MTC on host 172.18.37.10. MC@2da1c7ca7d3a: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Thu Sep 12 13:48:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL(4)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL(4)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL(4)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL(4)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_est_dchan-RSL(4)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL(4)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(6)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(7)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(8)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(9)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(10)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_est_dchan(11)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 2 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11000000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(11)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(12)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 3, hsn := 3 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(12)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(13)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(13)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 0, t3 := 14, t2 := 17 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(13)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 22, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(14)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 45, t2 := 14 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(15)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(16)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 32, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(16)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(17)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 32, t2 := 19 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(17)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(18)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 4, t2 := 14 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(18)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(19)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 45, t2 := 3 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(19)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(20)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(20)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(21)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(21)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(22)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(22)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(23)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 1, t3 := 45, t2 := 22 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(23)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(24)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(24)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(25)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11010100'B, t1p := 2, t3 := 4, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_est_dchan(25)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@2da1c7ca7d3a: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Thu Sep 12 13:48:57 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13598197) Waiting for packet dumper to finish... 1 (prev_count=13598197, count=13603807) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Thu Sep 12 13:49:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_chan_act_stress finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Thu Sep 12 13:49:04 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9394716) Waiting for packet dumper to finish... 1 (prev_count=9394716, count=9395305) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Thu Sep 12 13:49:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL(31)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_chan_act_react-RSL(31)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_react(33)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_chan_act_react finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Thu Sep 12 13:49:10 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1954784) Waiting for packet dumper to finish... 1 (prev_count=1954784, count=1955373) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Thu Sep 12 13:49:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Thu Sep 12 13:49:16 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1933386) Waiting for packet dumper to finish... 1 (prev_count=1933386, count=1933883) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Thu Sep 12 13:49:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(51)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(52)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@2da1c7ca7d3a: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1129 IPA-CTRL-CLI-IPA(40)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL(39)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Thu Sep 12 13:49:22 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2063002) Waiting for packet dumper to finish... 1 (prev_count=2063002, count=2068175) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Thu Sep 12 13:49:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(57)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(58)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(59)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(60)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(61)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_deact_sacch(62)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(62)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(63)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(63)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(64)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(65)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(66)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(67)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(67)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(68)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(68)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(69)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(69)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(70)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(70)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(71)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(71)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(72)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(72)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(73)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(73)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(74)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(74)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(75)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(75)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(76)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_deact_sacch(76)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@2da1c7ca7d3a: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_deact_sacch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Thu Sep 12 13:50:57 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=78944947) Waiting for packet dumper to finish... 1 (prev_count=78944947, count=78950557) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Thu Sep 12 13:51:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL(78)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL(78)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sacch_filling-RSL(78)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(80)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(81)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(82)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(83)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(84)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_filling(85)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(85)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(86)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(86)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(87)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(88)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(89)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(90)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(90)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(91)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(91)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(92)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(92)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(93)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(93)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(94)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(94)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(95)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(95)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(96)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(96)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(97)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(97)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(98)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(98)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(99)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_filling(99)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@2da1c7ca7d3a: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sacch_filling finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Thu Sep 12 13:51:17 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11388849) Waiting for packet dumper to finish... 1 (prev_count=11388849, count=11394459) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Thu Sep 12 13:51:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(103)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(104)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(105)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(106)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(107)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_info_mod(108)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(108)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(109)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(109)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(110)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(111)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(112)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(113)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(113)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(114)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(114)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(115)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(115)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(116)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(116)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(117)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(117)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(118)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(118)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(119)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(120)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(120)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(121)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(121)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(122)@2da1c7ca7d3a: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_info_mod(122)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2da1c7ca7d3a: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2da1c7ca7d3a: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@2da1c7ca7d3a: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sacch_info_mod finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Thu Sep 12 13:51:51 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=27178850) Waiting for packet dumper to finish... 1 (prev_count=27178850, count=27179347) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Thu Sep 12 13:51:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sacch_multi-RSL(124)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(126)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(127)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(128)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(129)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(130)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi(131)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(131)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(132)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(132)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(133)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(134)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(135)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(136)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(136)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(137)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(137)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(138)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(138)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(139)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(139)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(140)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(140)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(141)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(141)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(142)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(142)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(143)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(143)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(144)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(144)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(145)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi(145)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL(124)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@2da1c7ca7d3a: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sacch_multi finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Thu Sep 12 13:53:21 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=75774026) Waiting for packet dumper to finish... 1 (prev_count=75774026, count=75779199) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Thu Sep 12 13:53:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi_chg(154)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(155)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(159)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(160)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(161)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(162)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(163)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(164)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(165)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(166)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(167)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(168)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@2da1c7ca7d3a: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Thu Sep 12 13:55:01 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=80934861) Waiting for packet dumper to finish... 1 (prev_count=80934861, count=80935358) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Thu Sep 12 13:55:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(172)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(173)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(174)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(175)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(176)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_chan_act(177)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(177)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(178)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(178)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(179)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(180)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(181)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(182)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(182)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(183)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(183)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(184)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(184)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(185)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(185)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(186)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(186)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(187)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(187)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(188)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(188)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(189)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(189)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(190)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(190)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(191)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act(191)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@2da1c7ca7d3a: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sacch_chan_act finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Thu Sep 12 13:55:36 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24010690) Waiting for packet dumper to finish... 1 (prev_count=24010690, count=24011187) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Thu Sep 12 13:55:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: Timeout waiting for SACCH 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F'O TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: Timeout waiting for SACCH 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F'O TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: Timeout waiting for SACCH 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F'O TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: Timeout waiting for SACCH 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F'O TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@2da1c7ca7d3a: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Thu Sep 12 13:56:11 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=28029893) Waiting for packet dumper to finish... 1 (prev_count=28029893, count=28030390) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Thu Sep 12 13:56:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: Timeout waiting for SACCH 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F'O TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: Timeout waiting for SACCH 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F'O TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: Timeout waiting for SACCH 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F'O TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: Timeout waiting for SACCH 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F'O TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@2da1c7ca7d3a: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Thu Sep 12 13:57:03 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=44124270) Waiting for packet dumper to finish... 1 (prev_count=44124270, count=44124767) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Thu Sep 12 13:57:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rach_content-RSL(207)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rach_content-RSL(207)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 23, t2 := 10 } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@2da1c7ca7d3a: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rach_content finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Thu Sep 12 13:57:18 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11764687) Waiting for packet dumper to finish... 1 (prev_count=11764687, count=11765184) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Thu Sep 12 13:57:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content_emerg-RSL(210)@2da1c7ca7d3a: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(211)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rach_content_emerg finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Thu Sep 12 13:57:32 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11763262) Waiting for packet dumper to finish... 1 (prev_count=11763262, count=11768435) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Thu Sep 12 13:57:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rach_count-RSL(213)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rach_count-RSL(213)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@2da1c7ca7d3a: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rach_count finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Thu Sep 12 13:57:50 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14966345) Waiting for packet dumper to finish... 1 (prev_count=14966345, count=14966842) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Thu Sep 12 13:57:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 45, t2 := 11 } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 45, t2 := 24 } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 4, t2 := 6 } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 14, t2 := 14 } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@2da1c7ca7d3a: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rach_max_ta finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Thu Sep 12 13:58:06 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10660765) Waiting for packet dumper to finish... 1 (prev_count=10660765, count=10661262) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Thu Sep 12 13:58:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL(219)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL(219)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_ho_rach-RSL(219)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL(219)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_ho_rach-RSL(219)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL(219)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(221)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(222)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(223)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(224)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(225)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_ho_rach(226)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(226)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(226)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(227)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(227)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(227)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(228)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(229)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(230)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(231)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(231)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(231)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(232)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(232)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(232)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(233)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(233)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(234)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(234)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(235)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(235)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(236)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(236)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(237)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(237)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(238)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(238)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(239)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(239)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(240)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_rach(240)@2da1c7ca7d3a: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL-IPA(218)@2da1c7ca7d3a: Final verdict of PTC: none TC_ho_rach-RSL(219)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_ho_rach finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Thu Sep 12 13:58:14 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4345651) Waiting for packet dumper to finish... 1 (prev_count=4345651, count=4346148) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Thu Sep 12 13:58:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ho_physical_info(244)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@2da1c7ca7d3a: Sending handover Access Burst TC_ho_physical_info(244)@2da1c7ca7d3a: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@2da1c7ca7d3a: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info-RSL(242)@2da1c7ca7d3a: Final verdict of PTC: none TC_ho_physical_info-RSL-IPA(241)@2da1c7ca7d3a: Final verdict of PTC: none TC_ho_physical_info(244)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" IPA-CTRL-CLI-IPA(243)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@2da1c7ca7d3a: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Thu Sep 12 13:58:22 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4330278) Waiting for packet dumper to finish... 1 (prev_count=4330278, count=4335579) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Thu Sep 12 13:58:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@2da1c7ca7d3a: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Thu Sep 12 13:58:38 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5528989) Waiting for packet dumper to finish... 1 (prev_count=5528989, count=5529486) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Thu Sep 12 13:58:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@2da1c7ca7d3a: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Thu Sep 12 13:58:48 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4201736) Waiting for packet dumper to finish... 1 (prev_count=4201736, count=4202233) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Thu Sep 12 13:58:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rach_load_count-RSL(252)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@2da1c7ca7d3a: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 34, t2 := 17 } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@2da1c7ca7d3a: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rach_load_count finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Thu Sep 12 13:59:14 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17808361) Waiting for packet dumper to finish... 1 (prev_count=17808361, count=17808858) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Thu Sep 12 13:59:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Thu Sep 12 13:59:27 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11495958) Waiting for packet dumper to finish... 1 (prev_count=11495958, count=11501568) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Thu Sep 12 13:59:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL(259)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Thu Sep 12 13:59:41 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11500272) Waiting for packet dumper to finish... 1 (prev_count=11500272, count=11500769) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Thu Sep 12 13:59:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@2da1c7ca7d3a: Test Component 265 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh(265)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh-RSL(263)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(264)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh(265): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail'. Thu Sep 12 13:59:48 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2828809) Waiting for packet dumper to finish... 1 (prev_count=2828809, count=2829306) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Thu Sep 12 13:59:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@2da1c7ca7d3a: Test Component 269 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_facch-RSL(267)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(268)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(266)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchh_facch(269)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(266): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(267): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(268): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_facch(269): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchh_facch finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail'. Thu Sep 12 13:59:54 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_facch fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2825142) Waiting for packet dumper to finish... 1 (prev_count=2825142, count=2825639) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Thu Sep 12 13:59:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@2da1c7ca7d3a: Test Component 273 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_toa256-RSL(271)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(272)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256(273)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(270): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(271): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(272): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_toa256(273): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail'. Thu Sep 12 14:00:01 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2828990) Waiting for packet dumper to finish... 1 (prev_count=2828990, count=2829487) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Thu Sep 12 14:00:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(277)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@2da1c7ca7d3a: Test Component 278 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchf-RSL(275)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(276)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_sign_tchf(278)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_tchf-RSL-IPA(274)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(274): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchf-RSL(275): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(276): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchf(277): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchf(278): fail (pass -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_tchf finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail'. Thu Sep 12 14:00:16 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchf fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12267275) Waiting for packet dumper to finish... 1 (prev_count=12267275, count=12267772) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Thu Sep 12 14:00:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@2da1c7ca7d3a: Test Component 282 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchh-RSL(280)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(279)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_sign_tchh(282)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" IPA-CTRL-CLI-IPA(281)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(279): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchh-RSL(280): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(281): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchh(282): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail'. Thu Sep 12 14:00:22 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2737192) Waiting for packet dumper to finish... 1 (prev_count=2737192, count=2742365) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Thu Sep 12 14:00:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@2da1c7ca7d3a: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@2da1c7ca7d3a: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@2da1c7ca7d3a: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(289)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(284)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(283)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(285)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(283): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(284): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(285): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch4(286): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch4(287): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch4(288): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch4(289): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Thu Sep 12 14:01:00 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32264499) Waiting for packet dumper to finish... 1 (prev_count=32264499, count=32264996) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Thu Sep 12 14:01:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@2da1c7ca7d3a: Test Component 293 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_sdcch8-RSL(291)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(290)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(292)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_sign_sdcch8(293)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(290): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(291): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(292): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_sdcch8(293): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_sdcch8 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail'. Thu Sep 12 14:01:08 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_sdcch8 fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3774322) Waiting for packet dumper to finish... 1 (prev_count=3774322, count=3774819) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Thu Sep 12 14:01:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@2da1c7ca7d3a: Test Component 297 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchh_toa256-RSL(295)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(296)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256(297)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(294): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(295): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(296): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_sign_tchh_toa256(297): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@2da1c7ca7d3a: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail'. Thu Sep 12 14:01:15 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2741696) Waiting for packet dumper to finish... 1 (prev_count=2741696, count=2742193) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Thu Sep 12 14:01:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(301)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3812 IPA-CTRL-CLI-IPA(300)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL(299)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(298): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(299): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(300): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(301): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Thu Sep 12 14:01:28 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11493895) Waiting for packet dumper to finish... 1 (prev_count=11493895, count=11494392) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Thu Sep 12 14:01:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(305)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(303)@2da1c7ca7d3a: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(304)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(302): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(303): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(304): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(305): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Thu Sep 12 14:01:42 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8714416) Waiting for packet dumper to finish... 1 (prev_count=8714416, count=8719589) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Thu Sep 12 14:01:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=20 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(309)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(307)@2da1c7ca7d3a: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(308)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(306): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(307): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(308): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(309): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Thu Sep 12 14:01:54 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7926708) Waiting for packet dumper to finish... 1 (prev_count=7926708, count=7927205) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Thu Sep 12 14:01:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=20 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: IPA: Closed TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(310)@2da1c7ca7d3a: Final verdict of PTC: none TC_tx_power_down_bcch(313)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tx_power_down_bcch(313)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(311)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(312)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(310): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_down_bcch-RSL(311): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(312): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_down_bcch(313): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Thu Sep 12 14:02:10 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7208721) Waiting for packet dumper to finish... 1 (prev_count=7208721, count=7209218) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Thu Sep 12 14:02:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=20 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 317 has requested to stop MTC. Terminating current testcase execution. TC_tx_power_ramp_adm_state_change-RSL(315)@2da1c7ca7d3a: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(316)@2da1c7ca7d3a: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change(317)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(314): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(315): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(316): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tx_power_ramp_adm_state_change(317): fail (none -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail'. Thu Sep 12 14:02:35 UTC 2024 ------ BTS_Tests.TC_tx_power_ramp_adm_state_change fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18574937) Waiting for packet dumper to finish... 1 (prev_count=18574937, count=18575434) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Thu Sep 12 14:02:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(321)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(321)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(321)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(319)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(320)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(318): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(319): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(320): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_bs_pwr_static_ass(321): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Thu Sep 12 14:02:42 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2843368) Waiting for packet dumper to finish... 1 (prev_count=2843368, count=2848541) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Thu Sep 12 14:02:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(325)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(325)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(325)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(323)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(324)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(322): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(323): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(324): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(325): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Thu Sep 12 14:02:49 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2849518) Waiting for packet dumper to finish... 1 (prev_count=2849518, count=2850015) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Thu Sep 12 14:02:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(329)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(330)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(331)@2da1c7ca7d3a: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(332)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3894 TC_rsl_ms_pwr_ctrl-RSL(327)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(328)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(326): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(327): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(328): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_ctrl(329): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_ctrl(330): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_ctrl(331): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_ctrl(332): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass'. Thu Sep 12 14:03:56 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_ctrl pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=66317378) Waiting for packet dumper to finish... 1 (prev_count=66317378, count=66317875) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Thu Sep 12 14:04:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(336)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(334)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(335)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(333): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(334): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(335): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(336): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Thu Sep 12 14:04:17 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15066820) Waiting for packet dumper to finish... 1 (prev_count=15066820, count=15072430) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Thu Sep 12 14:04:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(340)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(338)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(339)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(338): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(339): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(340): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Thu Sep 12 14:04:33 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13988975) Waiting for packet dumper to finish... 1 (prev_count=13988975, count=13989472) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Thu Sep 12 14:04:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(344)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(342)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(343)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(341): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(342): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(343): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(344): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Thu Sep 12 14:04:58 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24656174) Waiting for packet dumper to finish... 1 (prev_count=24656174, count=24656671) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Thu Sep 12 14:05:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(348)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(346)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(347)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(345): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(346): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(347): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(348): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Thu Sep 12 14:05:14 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13984902) Waiting for packet dumper to finish... 1 (prev_count=13984902, count=13985399) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Thu Sep 12 14:05:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(352)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(351)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(350): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(351): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(352): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Thu Sep 12 14:05:27 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9627168) Waiting for packet dumper to finish... 1 (prev_count=9627168, count=9632778) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Thu Sep 12 14:05:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(356)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(354)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(355)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(353): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(354): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(355): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(356): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Thu Sep 12 14:05:42 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13536071) Waiting for packet dumper to finish... 1 (prev_count=13536071, count=13541244) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Thu Sep 12 14:05:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(360)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(360)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(360)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(360)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(360)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(361)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(361)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(361)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(361)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(361)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(362)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(362)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(362)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(362)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(362)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(363)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(363)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(363)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(363)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(363)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(358)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(359)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(357): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(358): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(359): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(360): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(361): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(362): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(363): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Thu Sep 12 14:05:50 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3459012) Waiting for packet dumper to finish... 1 (prev_count=3459012, count=3459509) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Thu Sep 12 14:05:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(367)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(367)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(367)@2da1c7ca7d3a: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(367)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(367)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(367)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(365)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(366)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(364)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(364): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(365): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(366): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_chan_initial_ta(367): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Thu Sep 12 14:05:56 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2406457) Waiting for packet dumper to finish... 1 (prev_count=2406457, count=2406954) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Thu Sep 12 14:05:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(371)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_modify_encr(371)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(371)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_rsl_modify_encr(371)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(372)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_modify_encr(372)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_rsl_modify_encr(372)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(373)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_modify_encr(373)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_rsl_modify_encr(373)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(374)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_modify_encr(374)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_rsl_modify_encr(374)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(369)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(368)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(370)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(368): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_modify_encr-RSL(369): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(370): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_modify_encr(371): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_modify_encr(372): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_modify_encr(373): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_modify_encr(374): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Thu Sep 12 14:06:14 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13044992) Waiting for packet dumper to finish... 1 (prev_count=13044992, count=13045489) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Thu Sep 12 14:06:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7811 IPA-CTRL-CLI-IPA(377)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL(376)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(375)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(375): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(376): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(377): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Thu Sep 12 14:06:33 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14417310) Waiting for packet dumper to finish... 1 (prev_count=14417310, count=14417807) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Thu Sep 12 14:06:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_conn_fail_crit(381)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_conn_fail_crit(381)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(381)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(381)@2da1c7ca7d3a: Final verdict of PTC: pass TC_conn_fail_crit-RSL(379)@2da1c7ca7d3a: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(378)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(380)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(378): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_conn_fail_crit-RSL(379): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(380): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_conn_fail_crit(381): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_conn_fail_crit finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Thu Sep 12 14:07:04 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24685622) Waiting for packet dumper to finish... 1 (prev_count=24685622, count=24686119) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Thu Sep 12 14:07:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: num_paging_sent=271 rcvd_msgs=171 rcvd_ids=271 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4329 TC_paging_imsi_80percent-RSL(383)@2da1c7ca7d3a: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(382)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(384)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(382): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_imsi_80percent-RSL(383): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(384): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Thu Sep 12 14:07:31 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21728553) Waiting for packet dumper to finish... 1 (prev_count=21728553, count=21729050) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Thu Sep 12 14:07:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: num_paging_sent=543 rcvd_msgs=173 rcvd_ids=543 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(386)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(387)@2da1c7ca7d3a: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(385)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(385): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_tmsi_80percent-RSL(386): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(387): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Thu Sep 12 14:07:58 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22109988) Waiting for packet dumper to finish... 1 (prev_count=22109988, count=22110485) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Thu Sep 12 14:08:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: num_paging_sent=679 rcvd_msgs=271 rcvd_ids=538 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(389)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(390)@2da1c7ca7d3a: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(388)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(388): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_imsi_200percent-RSL(389): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(390): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Thu Sep 12 14:08:37 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33545176) Waiting for packet dumper to finish... 1 (prev_count=33545176, count=33550786) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Thu Sep 12 14:08:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=877 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(392)@2da1c7ca7d3a: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(391)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(393)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(391): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_tmsi_200percent-RSL(392): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(393): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Thu Sep 12 14:09:10 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30006694) Waiting for packet dumper to finish... 1 (prev_count=30006694, count=30007191) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Thu Sep 12 14:09:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL-IPA(394)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_protocol_error-RSL(395)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(396)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(394): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_protocol_error-RSL(395): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(396): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Thu Sep 12 14:09:15 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1924752) Waiting for packet dumper to finish... 1 (prev_count=1924752, count=1925249) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Thu Sep 12 14:09:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(398)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(399)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(397)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(397): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_mand_ie_error-RSL(398): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(399): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Thu Sep 12 14:09:21 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1929120) Waiting for packet dumper to finish... 1 (prev_count=1929120, count=1929617) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Thu Sep 12 14:09:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(401)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(402)@2da1c7ca7d3a: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(400)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(400): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rsl_ie_content_error-RSL(401): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(402): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Thu Sep 12 14:09:27 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1927180) Waiting for packet dumper to finish... 1 (prev_count=1927180, count=1932790) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Thu Sep 12 14:09:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_si_sched_default-RSL(404)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: "TC_si_sched_default": TC=0 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_default": TC=1 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_default": TC=2 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_default": TC=3 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_default": TC=4 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_default": TC=5 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_default": TC=6 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_default": TC=7 has #of SI=4 MTC@2da1c7ca7d3a: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(404)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(405)@2da1c7ca7d3a: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(403)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_default-RSL-IPA(403): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_default-RSL(404): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(405): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_si_sched_default finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Thu Sep 12 14:09:40 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9172818) Waiting for packet dumper to finish... 1 (prev_count=9172818, count=9173315) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Thu Sep 12 14:09:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL(407)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL(407)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_si_sched_1-RSL(407)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: "TC_si_sched_1": TC=0 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_1": TC=1 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_1": TC=2 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_1": TC=3 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_1": TC=4 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_1": TC=5 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_1": TC=6 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_1": TC=7 has #of SI=4 MTC@2da1c7ca7d3a: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(407)@2da1c7ca7d3a: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(406)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(408)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_1-RSL-IPA(406): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_1-RSL(407): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(408): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_si_sched_1 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Thu Sep 12 14:09:54 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9179847) Waiting for packet dumper to finish... 1 (prev_count=9179847, count=9180344) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Thu Sep 12 14:09:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_2bis": TC=4 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@2da1c7ca7d3a: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(410)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(411)@2da1c7ca7d3a: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(409)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(409): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_2bis-RSL(410): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(411): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_si_sched_2bis finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Thu Sep 12 14:10:08 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9177171) Waiting for packet dumper to finish... 1 (prev_count=9177171, count=9182344) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Thu Sep 12 14:10:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@2da1c7ca7d3a: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(413)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(414)@2da1c7ca7d3a: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(412)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(412): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_2ter-RSL(413): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(414): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_si_sched_2ter finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Thu Sep 12 14:10:21 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9176885) Waiting for packet dumper to finish... 1 (prev_count=9176885, count=9177382) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Thu Sep 12 14:10:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@2da1c7ca7d3a: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(416)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(417)@2da1c7ca7d3a: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(415)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(415): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(416): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(417): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Thu Sep 12 14:10:35 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9176348) Waiting for packet dumper to finish... 1 (prev_count=9176348, count=9176845) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Thu Sep 12 14:10:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@2da1c7ca7d3a: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@2da1c7ca7d3a: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@2da1c7ca7d3a: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@2da1c7ca7d3a: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@2da1c7ca7d3a: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@2da1c7ca7d3a: "TC_si_sched_2quater": TC=6 has #of SI=9 MTC@2da1c7ca7d3a: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@2da1c7ca7d3a: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(419)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(420)@2da1c7ca7d3a: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(418)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(418): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_2quater-RSL(419): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(420): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_si_sched_2quater finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Thu Sep 12 14:10:56 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16377687) Waiting for packet dumper to finish... 1 (prev_count=16377687, count=16378621) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Thu Sep 12 14:11:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_si_sched_13-RSL(422)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2da1c7ca7d3a: "TC_si_sched_13": TC=0 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_13": TC=1 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_13": TC=2 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_13": TC=3 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_13": TC=4 has #of SI=5 MTC@2da1c7ca7d3a: "TC_si_sched_13": TC=5 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_13": TC=6 has #of SI=4 MTC@2da1c7ca7d3a: "TC_si_sched_13": TC=7 has #of SI=4 MTC@2da1c7ca7d3a: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@2da1c7ca7d3a: not-bccch-extended MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL(422)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(423)@2da1c7ca7d3a: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(421)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_13-RSL-IPA(421): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_13-RSL(422): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(423): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_si_sched_13 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Thu Sep 12 14:11:10 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9175000) Waiting for packet dumper to finish... 1 (prev_count=9175000, count=9175497) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Thu Sep 12 14:11:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2da1c7ca7d3a: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2da1c7ca7d3a: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2da1c7ca7d3a: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@2da1c7ca7d3a: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@2da1c7ca7d3a: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@2da1c7ca7d3a: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@2da1c7ca7d3a: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@2da1c7ca7d3a: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@2da1c7ca7d3a: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@2da1c7ca7d3a: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@2da1c7ca7d3a: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@2da1c7ca7d3a: not-bccch-extended MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(425)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(426)@2da1c7ca7d3a: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(425): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(426): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Thu Sep 12 14:11:32 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16396553) Waiting for packet dumper to finish... 1 (prev_count=16396553, count=16401726) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Thu Sep 12 14:11:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(430)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(430)@2da1c7ca7d3a: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL(428)@2da1c7ca7d3a: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL-IPA(427)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(429)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(427): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(428): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(429): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_dlcx_not_active(430): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Thu Sep 12 14:11:37 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1935779) Waiting for packet dumper to finish... 1 (prev_count=1935779, count=1941389) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Thu Sep 12 14:11:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(434)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(434)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(434)@2da1c7ca7d3a: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(432)@2da1c7ca7d3a: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(431)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(433)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(431): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(432): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(433): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_twice_not_active(434): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Thu Sep 12 14:11:43 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1944345) Waiting for packet dumper to finish... 1 (prev_count=1944345, count=1944842) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Thu Sep 12 14:11:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(438)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(438)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(438)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(438)@2da1c7ca7d3a: Final verdict of PTC: pass TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(437)@2da1c7ca7d3a: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(438): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Thu Sep 12 14:11:49 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1948011) Waiting for packet dumper to finish... 1 (prev_count=1948011, count=1948508) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Thu Sep 12 14:11:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@2da1c7ca7d3a: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(441)@2da1c7ca7d3a: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(441): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Thu Sep 12 14:11:54 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1950209) Waiting for packet dumper to finish... 1 (prev_count=1950209, count=1950706) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Thu Sep 12 14:11:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(446)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(446)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_sdcch_not_active(447)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(447)@2da1c7ca7d3a: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(444)@2da1c7ca7d3a: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(445)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(443): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(444): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(445): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(446): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(447): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Thu Sep 12 14:12:00 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1951332) Waiting for packet dumper to finish... 1 (prev_count=1951332, count=1951829) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Thu Sep 12 14:12:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(451)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(451)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(451)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_ack_addr(452)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(452)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(452)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL(449)@2da1c7ca7d3a: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL-IPA(448)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(450)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(448): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(449): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(450): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_ack_addr(451): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@2da1c7ca7d3a: Local verdict of PTC TC_ipa_crcx_ack_addr(452): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@2da1c7ca7d3a: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Thu Sep 12 14:12:05 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1957095) Waiting for packet dumper to finish... 1 (prev_count=1957095, count=1957592) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Thu Sep 12 14:12:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: Rx LOAD_IND MTC@2da1c7ca7d3a: num_paging_sent=679 rcvd_msgs=270 rcvd_ids=537 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(454)@2da1c7ca7d3a: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(455)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(453): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(454): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(455): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Thu Sep 12 14:12:44 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33407103) Waiting for packet dumper to finish... 1 (prev_count=33407103, count=33407600) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Thu Sep 12 14:12:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_act_req-RSL(457)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(458)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(456)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_act_req-RSL-IPA(456): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_act_req-RSL(457): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(458): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_act_req finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Thu Sep 12 14:12:53 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3326775) Waiting for packet dumper to finish... 1 (prev_count=3326775, count=3327656) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Thu Sep 12 14:12:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(460)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(459)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(461)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(459): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(460): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(461): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Thu Sep 12 14:13:05 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4683835) Waiting for packet dumper to finish... 1 (prev_count=4683835, count=4684332) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Thu Sep 12 14:13:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(463)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(464)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(462)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(462): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(463): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(464): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Thu Sep 12 14:13:16 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4682984) Waiting for packet dumper to finish... 1 (prev_count=4682984, count=4683481) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Thu Sep 12 14:13:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(466)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(467)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(465)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(465): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(466): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(467): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Thu Sep 12 14:13:28 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4671911) Waiting for packet dumper to finish... 1 (prev_count=4671911, count=4672408) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Thu Sep 12 14:13:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(469)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(468)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(470)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(468): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_deact_req-RSL(469): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(470): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_deact_req finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Thu Sep 12 14:13:41 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5608357) Waiting for packet dumper to finish... 1 (prev_count=5608357, count=5608854) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Thu Sep 12 14:13:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(472)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(473)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(471): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(472): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(473): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Thu Sep 12 14:13:51 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3762777) Waiting for packet dumper to finish... 1 (prev_count=3762777, count=3763402) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Thu Sep 12 14:13:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(475)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(474)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(476)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(474): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ver_si1-RSL(475): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(476): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Thu Sep 12 14:13:57 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1941754) Waiting for packet dumper to finish... 1 (prev_count=1941754, count=1947364) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Thu Sep 12 14:14:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(478)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(479)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(477)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(477): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ver_si3-RSL(478): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(479): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Thu Sep 12 14:14:02 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1937793) Waiting for packet dumper to finish... 1 (prev_count=1937793, count=1942966) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Thu Sep 12 14:14:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_ver_si13-RSL(481)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(482)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(480)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(480): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ver_si13-RSL(481): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(482): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Thu Sep 12 14:14:08 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1943149) Waiting for packet dumper to finish... 1 (prev_count=1943149, count=1943646) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Thu Sep 12 14:14:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(484)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(483)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(485)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(483): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(484): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(485): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Thu Sep 12 14:14:17 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4727892) Waiting for packet dumper to finish... 1 (prev_count=4727892, count=4728954) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Thu Sep 12 14:14:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(487)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(486)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(488)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(486): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(487): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(488): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Thu Sep 12 14:14:26 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5189632) Waiting for packet dumper to finish... 1 (prev_count=5189632, count=5190129) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Thu Sep 12 14:14:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_bts-RSL-IPA(489)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL(490)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(491)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(489): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(490): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(491): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Thu Sep 12 14:14:39 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8442513) Waiting for packet dumper to finish... 1 (prev_count=8442513, count=8443010) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Thu Sep 12 14:14:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(493)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(494)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(492)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(492): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(493): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(494): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Thu Sep 12 14:14:53 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8451913) Waiting for packet dumper to finish... 1 (prev_count=8451913, count=8452410) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Thu Sep 12 14:14:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_ts-RSL-IPA(495)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL(496)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(497)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(495): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(496): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(497): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Thu Sep 12 14:15:07 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8441646) Waiting for packet dumper to finish... 1 (prev_count=8441646, count=8447256) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Thu Sep 12 14:15:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(499)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(500)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(498)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(498): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(499): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(500): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Thu Sep 12 14:15:17 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5271438) Waiting for packet dumper to finish... 1 (prev_count=5271438, count=5277048) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Thu Sep 12 14:15:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: Sending an Access Burst towards the L1CTL interface MTC@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL_RACH_CONF", new component reason: "Timeout waiting for L1CTL_RACH_CONF" TC_pcu_ptcch-RSL(502)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(503)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(501)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: fail reason: "Timeout waiting for L1CTL_RACH_CONF" MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(501): none (fail -> fail) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ptcch-RSL(502): none (fail -> fail) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(503): none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_pcu_ptcch finished. Verdict: fail reason: Timeout waiting for L1CTL_RACH_CONF MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail'. Thu Sep 12 14:15:28 UTC 2024 ------ BTS_Tests.TC_pcu_ptcch fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6189902) Waiting for packet dumper to finish... 1 (prev_count=6189902, count=6190399) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Thu Sep 12 14:15:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(505)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(506)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(504)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(504): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_agch-RSL(505): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(506): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Thu Sep 12 14:15:37 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4722066) Waiting for packet dumper to finish... 1 (prev_count=4722066, count=4727804) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Thu Sep 12 14:15:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed IPA-CTRL-CLI-IPA(509)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_pch-RSL(508)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(507)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(507): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_pch-RSL(508): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(509): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Thu Sep 12 14:15:45 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4724295) Waiting for packet dumper to finish... 1 (prev_count=4724295, count=4724920) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Thu Sep 12 14:15:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: IMM.ASS was sent on PCH MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(511)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(512)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(510): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(511): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(512): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Thu Sep 12 14:15:51 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2161864) Waiting for packet dumper to finish... 1 (prev_count=2161864, count=2162361) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Thu Sep 12 14:15:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: IMM.ASS was sent on AGCH MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(514)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(515)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(513): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(514): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(515): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Thu Sep 12 14:15:57 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2121731) Waiting for packet dumper to finish... 1 (prev_count=2121731, count=2127341) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Thu Sep 12 14:16:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(517)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(516)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(518)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(516): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_rach_content-RSL(517): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(518): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_rach_content finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Thu Sep 12 14:16:11 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11179000) Waiting for packet dumper to finish... 1 (prev_count=11179000, count=11179497) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Thu Sep 12 14:16:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(520)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(519)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(521)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(519): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_ext_rach_content-RSL(520): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(521): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Thu Sep 12 14:16:26 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11178027) Waiting for packet dumper to finish... 1 (prev_count=11178027, count=11178524) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Thu Sep 12 14:16:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Testing C/I=-256 cB MTC@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"", new component reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":5991 TC_pcu_data_ind_lqual_cb-RSL(523)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(524)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(522): none (fail -> fail) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(523): none (fail -> fail) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(524): none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: fail reason: "BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail'. Thu Sep 12 14:16:35 UTC 2024 ------ BTS_Tests.TC_pcu_data_ind_lqual_cb fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5471210) Waiting for packet dumper to finish... 1 (prev_count=5471210, count=5471707) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Thu Sep 12 14:16:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_paging_from_rsl-RSL(526)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(527)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(525)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(525): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(526): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(527): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Thu Sep 12 14:16:41 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2082325) Waiting for packet dumper to finish... 1 (prev_count=2082325, count=2082822) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Thu Sep 12 14:16:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: 1083 fn expired with 251 PCU_TIME.ind MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_time_ind-RSL(529)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(530)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(528)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(528): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_time_ind-RSL(529): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(530): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_time_ind finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass'. Thu Sep 12 14:16:55 UTC 2024 ====== BTS_Tests.TC_pcu_time_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5793648) Waiting for packet dumper to finish... 1 (prev_count=5793648, count=5794145) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Thu Sep 12 14:16:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: 1079 fn expired with num_rts_pdtch=250, num_rts_ptcch=10 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rts_req-RSL(532)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(531)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(533)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(531): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_rts_req-RSL(532): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(533): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_rts_req finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass'. Thu Sep 12 14:17:08 UTC 2024 ====== BTS_Tests.TC_pcu_rts_req pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5756458) Waiting for packet dumper to finish... 1 (prev_count=5756458, count=5756955) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Thu Sep 12 14:17:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(536)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(537)@2da1c7ca7d3a: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@2da1c7ca7d3a: Test Component 537 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(537)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(535)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(534)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(534): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_oml_alert-RSL(535): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(536): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(537): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@2da1c7ca7d3a: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Thu Sep 12 14:17:17 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3334934) Waiting for packet dumper to finish... 1 (prev_count=3334934, count=3340544) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Thu Sep 12 14:17:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(541)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_rr_suspend(541)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(541)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(541)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@2da1c7ca7d3a: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(539)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(538)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(540)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(538): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_rr_suspend-RSL(539): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(540): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_rr_suspend(541): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Thu Sep 12 14:17:23 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2345744) Waiting for packet dumper to finish... 1 (prev_count=2345744, count=2346241) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Thu Sep 12 14:17:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: BTS has accept()ed connection MTC@2da1c7ca7d3a: BTS has close()d connection MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(543)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(542)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(542): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(543): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Thu Sep 12 14:17:28 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1934128) Waiting for packet dumper to finish... 1 (prev_count=1934128, count=1934625) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Thu Sep 12 14:17:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(546)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(547)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(545)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(545): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_reconnect-RSL(546): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Thu Sep 12 14:17:36 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2846320) Waiting for packet dumper to finish... 1 (prev_count=2846320, count=2846817) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Thu Sep 12 14:17:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(550)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(549): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(550): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Thu Sep 12 14:17:44 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4439249) Waiting for packet dumper to finish... 1 (prev_count=4439249, count=4439746) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Thu Sep 12 14:17:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(553)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(552): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(553): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Thu Sep 12 14:17:52 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3780407) Waiting for packet dumper to finish... 1 (prev_count=3780407, count=3785580) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Thu Sep 12 14:17:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(555)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(556)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(554): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(555): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(556): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Thu Sep 12 14:18:00 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4439246) Waiting for packet dumper to finish... 1 (prev_count=4439246, count=4439835) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Thu Sep 12 14:18:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(558)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(559)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(557): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(558): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(559): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Thu Sep 12 14:18:08 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3790748) Waiting for packet dumper to finish... 1 (prev_count=3790748, count=3791245) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Thu Sep 12 14:18:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(562)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(561): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(562): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Thu Sep 12 14:18:17 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5286389) Waiting for packet dumper to finish... 1 (prev_count=5286389, count=5291999) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Thu Sep 12 14:18:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2da1c7ca7d3a: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(565)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(564): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(565): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Thu Sep 12 14:18:27 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5498663) Waiting for packet dumper to finish... 1 (prev_count=5498663, count=5504273) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Thu Sep 12 14:18:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(567)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(568)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(566)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(566): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(567): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(568): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Thu Sep 12 14:18:35 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2841762) Waiting for packet dumper to finish... 1 (prev_count=2841762, count=2842259) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Thu Sep 12 14:18:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(572)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(572)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(572)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(572)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(570)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(571)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(569): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(570): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(571): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(572): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Thu Sep 12 14:18:43 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3459336) Waiting for packet dumper to finish... 1 (prev_count=3459336, count=3459833) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Thu Sep 12 14:18:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(576)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(576)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(576)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(576)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(574)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(575)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(573): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(574): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(575): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_double_act(576): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Thu Sep 12 14:18:49 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1966137) Waiting for packet dumper to finish... 1 (prev_count=1966137, count=1966634) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Thu Sep 12 14:18:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_deact(580)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(580)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(580)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(578)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(577): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(578): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(580): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Thu Sep 12 14:18:57 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3347653) Waiting for packet dumper to finish... 1 (prev_count=3347653, count=3352826) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Thu Sep 12 14:19:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_tchf_act_nack(584)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(584)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(584)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(584)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(583)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(583): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(584): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Thu Sep 12 14:19:03 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1974932) Waiting for packet dumper to finish... 1 (prev_count=1974932, count=1975429) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Thu Sep 12 14:19:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 1, hsn := 0, maio := 1, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 1, hsn := 6, maio := 1, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@2da1c7ca7d3a: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 1, hsn := 0, maio := 0, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 1, hsn := 6, maio := 0, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@2da1c7ca7d3a: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 1, hsn := 0, maio := 3, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 2, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@2da1c7ca7d3a: Checking timeslot #7 of trx#3: { tsc := 7, hopping := 1, hsn := 0, maio := 2, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(586)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(587)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(585)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(585): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(586): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(587): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Thu Sep 12 14:19:09 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1941218) Waiting for packet dumper to finish... 1 (prev_count=1941218, count=1941715) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Thu Sep 12 14:19:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv4-RSL(589)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(590)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(588): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(589): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(590): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Thu Sep 12 14:19:14 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1951122) Waiting for packet dumper to finish... 1 (prev_count=1951122, count=1951619) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Thu Sep 12 14:19:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(592)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(593)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(591): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(592): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(593): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Thu Sep 12 14:19:20 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1951964) Waiting for packet dumper to finish... 1 (prev_count=1951964, count=1952461) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Thu Sep 12 14:19:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_pcu_socket_two_nsvc-RSL(595)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(596)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(594)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(594): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(595): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(596): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Thu Sep 12 14:19:25 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1967968) Waiting for packet dumper to finish... 1 (prev_count=1967968, count=1968465) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Thu Sep 12 14:19:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Warning: Re-starting timer T, which is already active (running or expired). MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(598)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(599)@2da1c7ca7d3a: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(597)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(597): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_pcu_interf_ind-RSL(598): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(599): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Thu Sep 12 14:19:36 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5787570) Waiting for packet dumper to finish... 1 (prev_count=5787570, count=5788067) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Thu Sep 12 14:19:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(603)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(603)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(601)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(602)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(601): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(602): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(603): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Thu Sep 12 14:19:42 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1957102) Waiting for packet dumper to finish... 1 (prev_count=1957102, count=1962275) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Thu Sep 12 14:19:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(607)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(607)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(607)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchf_act-RSL(605)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(606)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(605): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(606): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(607): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Thu Sep 12 14:19:47 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1970079) Waiting for packet dumper to finish... 1 (prev_count=1970079, count=1975689) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Thu Sep 12 14:19:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(611)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(611)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(611)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(611)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(609)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(610)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(609): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(611): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Thu Sep 12 14:19:53 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1988553) Waiting for packet dumper to finish... 1 (prev_count=1988553, count=1989050) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Thu Sep 12 14:19:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(614)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(613): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(614): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(615): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Thu Sep 12 14:19:59 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2057186) Waiting for packet dumper to finish... 1 (prev_count=2057186, count=2057683) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Thu Sep 12 14:20:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(619)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(620)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(619)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(619)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(620)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(620)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(619)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(620)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(618)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(617): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(618): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(619): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(620): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Thu Sep 12 14:20:06 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2904438) Waiting for packet dumper to finish... 1 (prev_count=2904438, count=2904935) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Thu Sep 12 14:20:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(624)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(628)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(629)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(630)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(631)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(624)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(628)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(628)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(628)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(629)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(629)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(629)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(630)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(630)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(631)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(630)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(631)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(631)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(623)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(623): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(624): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(625): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(626): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(627): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(628): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(629): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(630): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(631): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Thu Sep 12 14:20:13 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2902970) Waiting for packet dumper to finish... 1 (prev_count=2902970, count=2903467) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Thu Sep 12 14:20:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act(635)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(635)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(635)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(635)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL(633)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(634)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(633): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(634): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(635): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Thu Sep 12 14:20:19 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1980415) Waiting for packet dumper to finish... 1 (prev_count=1980415, count=1980912) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Thu Sep 12 14:20:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@2da1c7ca7d3a: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@2da1c7ca7d3a: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(638)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(638): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Thu Sep 12 14:20:24 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1976051) Waiting for packet dumper to finish... 1 (prev_count=1976051, count=1976548) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Thu Sep 12 14:20:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(643)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(643)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(644)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(644)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(644)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(644)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(645)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(645)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(645)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(645)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(646)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(646)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(646)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(646)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(647)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(647)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(647)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(647)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(648)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(648)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(648)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(648)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(649)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(649)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(649)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(649)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(650)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(650)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(650)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(650)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(651)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(651)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(651)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(651)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(652)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(652)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(652)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(652)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(653)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(653)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(653)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(653)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(654)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(654)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(655)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(655)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(656)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(656)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(657)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(657)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(658)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(658)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(659)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(659)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(660)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(660)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(661)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(661)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(662)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(662)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(663)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(663)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(664)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(664)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(665)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(665)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(666)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(666)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(667)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(667)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(668)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(668)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(669)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(669)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(670)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_ind(670)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_ind-RSL(641)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(640)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(642)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind-RSL-IPA(640): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind-RSL(641): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(642): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(643): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(644): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(645): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(646): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(647): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(648): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(649): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(650): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(651): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(652): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(653): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(654): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(655): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(656): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(657): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(658): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(659): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(660): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(661): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(662): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(663): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(664): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(665): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(666): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(667): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(668): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(669): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_ind(670): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_est_ind finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass'. Thu Sep 12 14:21:15 UTC 2024 ====== BTS_Tests.TC_rll_est_ind pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=41387782) Waiting for packet dumper to finish... 1 (prev_count=41387782, count=41388279) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Thu Sep 12 14:21:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(674)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(674)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(674)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(674)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(674)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(674)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(675)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(675)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(675)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(675)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(675)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(676)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(676)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(676)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(676)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(676)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(677)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(677)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(677)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(677)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(677)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(672)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(673)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(671)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(671): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(672): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(673): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_DCCH_3(674): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_DCCH_3(675): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_DCCH_3(676): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_DCCH_3(677): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Thu Sep 12 14:21:21 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2401212) Waiting for packet dumper to finish... 1 (prev_count=2401212, count=2401709) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Thu Sep 12 14:21:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(681)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(682)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(683)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(683)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(683)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(683)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(683)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(683)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(684)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(684)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(684)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(684)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(684)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(684)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(684)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(679)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(678)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(680)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(678): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(679): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(680): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_ACCH_3(681): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_ACCH_3(682): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_ACCH_3(683): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_est_req_ACCH_3(684): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Thu Sep 12 14:21:28 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3491836) Waiting for packet dumper to finish... 1 (prev_count=3491836, count=3492333) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Thu Sep 12 14:21:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(689)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(690)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(690)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(690)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(690)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(690)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(690)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(691)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL(686)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(687)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(685): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(686): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(687): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_0(688): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_0(689): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_0(690): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_0(691): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Thu Sep 12 14:21:35 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3407893) Waiting for packet dumper to finish... 1 (prev_count=3407893, count=3408390) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Thu Sep 12 14:21:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(696)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(697)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(697)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(697)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(697)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(697)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(697)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(698)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(693)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(694)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(692): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(693): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(694): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_3(695): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_3(696): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_3(697): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_DCCH_3(698): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Thu Sep 12 14:21:43 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3390906) Waiting for packet dumper to finish... 1 (prev_count=3390906, count=3396079) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Thu Sep 12 14:21:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(702)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(703)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(704)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 705 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_0-RSL(700)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(701)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0(705)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(699): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(700): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(701): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_0(702): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_0(703): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_0(704): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_0(705): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail'. Thu Sep 12 14:22:14 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21775670) Waiting for packet dumper to finish... 1 (prev_count=21775670, count=21776167) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Thu Sep 12 14:22:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(709)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(710)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(711)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 712 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_3-RSL(707)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(708)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3(712)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(706): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(707): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(708): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_3(709): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_3(710): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_3(711): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_ind_ACCH_3(712): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail'. Thu Sep 12 14:22:45 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21797625) Waiting for packet dumper to finish... 1 (prev_count=21797625, count=21798122) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Thu Sep 12 14:22:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(716)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(716)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(716)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(717)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(718)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(718)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(719)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(720)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(720)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(720)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(721)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(722)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(722)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(723)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(724)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(724)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(724)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(724)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(725)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(726)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(726)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(726)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(726)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(726)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(727)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(727)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(728)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(728)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(728)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(728)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(728)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(729)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_req(729)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 729 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_req-RSL(714)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(715)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(713)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_rel_req(729)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req-RSL-IPA(713): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req-RSL(714): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(715): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(716): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(717): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(718): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(719): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(720): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(721): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(722): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(723): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(724): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(725): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(726): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(727): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(728): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_rel_req(729): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Test case TC_rll_rel_req finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req fail'. Thu Sep 12 14:23:26 UTC 2024 ------ BTS_Tests.TC_rll_rel_req fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31109111) Waiting for packet dumper to finish... 1 (prev_count=31109111, count=31110045) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Thu Sep 12 14:23:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '62C16A753C242DFFAE32A64744A18D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(733)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(733)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(733)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '62C16A753C242DFFAE32A64744A18D'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(733)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(733)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(733)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '62C16A753C242DFFAE32A64744A18D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(734)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(734)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(734)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '62C16A753C242DFFAE32A64744A18D'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(734)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(734)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(734)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '62C16A753C242DFFAE32A64744A18D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(735)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(735)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(735)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '62C16A753C242DFFAE32A64744A18D'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(735)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(735)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(735)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '62C16A753C242DFFAE32A64744A18D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(736)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(736)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '62C16A753C242DFFAE32A64744A18D'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(736)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(736)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(736)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '62C16A753C242DFFAE32A64744A18D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(737)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(737)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '62C16A753C242DFFAE32A64744A18D'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(737)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(737)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(737)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '62C16A753C242DFFAE32A64744A18D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(738)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(738)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '62C16A753C242DFFAE32A64744A18D'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(738)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(738)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(738)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '62C16A753C242DFFAE32A64744A18D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(739)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(739)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '62C16A753C242DFFAE32A64744A18D'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(739)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(739)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(739)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '62C16A753C242DFFAE32A64744A18D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(740)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(740)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '62C16A753C242DFFAE32A64744A18D'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(740)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(740)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(740)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 IPA-CTRL-CLI-IPA(732)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL(731)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(730)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(730): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(731): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(732): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH(733): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH(734): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH(735): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH(736): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH(737): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH(738): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH(739): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_DCCH(740): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Thu Sep 12 14:23:33 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3223761) Waiting for packet dumper to finish... 1 (prev_count=3223761, count=3224258) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Thu Sep 12 14:23:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O } } TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(744)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O } } TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(745)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O } } TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(746)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O } } TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(747)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(748)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(748)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(748)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O } } TC_rll_unit_data_req_ACCH(748)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(748)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(748)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(749)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(749)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(749)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(749)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O } } TC_rll_unit_data_req_ACCH(749)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(749)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(749)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(750)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(750)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(750)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(750)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O } } TC_rll_unit_data_req_ACCH(750)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(750)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(750)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(751)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(751)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(751)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(751)@2da1c7ca7d3a: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := 'BDC4E8D3ECB7EF2DC7C55B6546295B1F0027E8'O } } TC_rll_unit_data_req_ACCH(751)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(751)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(751)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(742)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(743)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(741)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(741): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(742): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(743): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH(744): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH(745): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH(746): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH(747): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH(748): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH(749): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH(750): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_req_ACCH(751): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Thu Sep 12 14:23:43 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5170686) Waiting for packet dumper to finish... 1 (prev_count=5170686, count=5175859) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Thu Sep 12 14:23:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'AFE074F08C00BFA9505879BD1350E90EEEFC0A7A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(755)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(755)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(755)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(755)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'AFE074F08C00BFA9505879BD1350E90EEEFC0A7A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(756)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(756)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(756)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(756)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'AFE074F08C00BFA9505879BD1350E90EEEFC0A7A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(757)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(757)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(757)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(757)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'AFE074F08C00BFA9505879BD1350E90EEEFC0A7A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(758)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(758)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(758)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(758)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'AFE074F08C00BFA9505879BD1350E90EEEFC0A7A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(759)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(759)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(759)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(759)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'AFE074F08C00BFA9505879BD1350E90EEEFC0A7A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(760)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(760)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(760)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(760)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'AFE074F08C00BFA9505879BD1350E90EEEFC0A7A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(761)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(761)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(761)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(761)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'AFE074F08C00BFA9505879BD1350E90EEEFC0A7A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(762)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(762)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(762)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(762)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(753)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(754)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(752): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(753): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(754): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH(755): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH(756): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH(757): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH(758): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH(759): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH(760): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH(761): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_DCCH(762): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Thu Sep 12 14:23:50 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3424592) Waiting for packet dumper to finish... 1 (prev_count=3424592, count=3425089) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Thu Sep 12 14:23:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '6AE168DA5CEE3509218FB3E35DA6BE55DB3D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(766)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(766)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(766)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(766)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '6AE168DA5CEE3509218FB3E35DA6BE55DB3D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(767)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(767)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(767)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(767)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '6AE168DA5CEE3509218FB3E35DA6BE55DB3D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(768)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(768)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(768)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(768)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '6AE168DA5CEE3509218FB3E35DA6BE55DB3D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(769)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(769)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(769)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(769)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '6AE168DA5CEE3509218FB3E35DA6BE55DB3D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(770)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(770)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(770)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(770)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '6AE168DA5CEE3509218FB3E35DA6BE55DB3D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(771)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(771)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(771)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(771)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '6AE168DA5CEE3509218FB3E35DA6BE55DB3D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(772)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(772)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(772)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(772)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '6AE168DA5CEE3509218FB3E35DA6BE55DB3D'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(773)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(773)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(773)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(773)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@2da1c7ca7d3a: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL(764)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(765)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(763): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(764): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(765): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH(766): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH(767): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH(768): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH(769): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH(770): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH(771): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH(772): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rll_unit_data_ind_ACCH(773): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Thu Sep 12 14:24:05 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9996499) Waiting for packet dumper to finish... 1 (prev_count=9996499, count=9996996) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Thu Sep 12 14:24:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(777)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a51(777)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(777)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a51(777)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(778)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a51(778)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a51(778)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(778)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(778)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(778)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(779)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a51(779)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a51(779)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(779)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(779)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(779)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(780)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a51(780)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a51(780)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a51(780)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(780)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(780)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(780)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(775)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(776)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(774)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a51-RSL-IPA(774): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a51-RSL(775): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(776): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a51(777): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a51(778): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a51(779): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a51(780): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_chan_act_a51 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Thu Sep 12 14:24:12 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3176221) Waiting for packet dumper to finish... 1 (prev_count=3176221, count=3181394) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Thu Sep 12 14:24:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(784)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a52(784)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(784)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a52(784)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(785)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a52(785)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a52(785)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(785)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(785)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(785)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(786)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a52(786)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a52(786)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(786)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(786)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(786)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(787)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a52(787)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a52(787)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(787)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(787)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(787)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(782)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(781)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(783)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a52-RSL-IPA(781): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a52-RSL(782): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(783): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a52(784): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a52(785): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a52(786): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a52(787): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_chan_act_a52 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Thu Sep 12 14:24:19 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3175956) Waiting for packet dumper to finish... 1 (prev_count=3175956, count=3176453) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Thu Sep 12 14:24:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(791)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a53(791)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(791)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a53(791)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(792)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a53(792)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a53(792)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(792)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(792)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(792)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(793)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a53(793)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a53(793)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(793)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(793)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(793)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(794)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a53(794)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a53(794)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(794)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(794)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(794)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(789)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(790)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(788)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a53-RSL-IPA(788): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a53-RSL(789): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(790): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a53(791): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a53(792): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a53(793): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a53(794): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_chan_act_a53 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Thu Sep 12 14:24:26 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3173310) Waiting for packet dumper to finish... 1 (prev_count=3173310, count=3173807) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Thu Sep 12 14:24:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(798)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a54(798)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(798)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a54(798)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(799)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a54(799)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a54(799)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(799)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(799)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(799)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(800)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a54(800)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a54(800)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(800)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(800)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(800)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(801)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_chan_act_a54(801)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'ACD4F39B3AE6C6F3314B804286C0DB0B3BCF2F7B'O, padding := ''O } } TC_chan_act_a54(801)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(801)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(801)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(801)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(796)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(797)@2da1c7ca7d3a: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(795)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a54-RSL-IPA(795): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a54-RSL(796): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(797): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a54(798): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a54(799): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a54(800): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_chan_act_a54(801): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_chan_act_a54 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Thu Sep 12 14:24:33 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3173576) Waiting for packet dumper to finish... 1 (prev_count=3173576, count=3174073) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Thu Sep 12 14:24:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(805)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(805)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(806)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(807)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a51(807)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(807)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(807)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(807)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(807)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(807)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(807)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(807)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(808)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(803)@2da1c7ca7d3a: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(802)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(804)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(802): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a51-RSL(803): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(804): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a51(805): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a51(806): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a51(807): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a51(808): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Thu Sep 12 14:24:43 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5312817) Waiting for packet dumper to finish... 1 (prev_count=5312817, count=5313314) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Thu Sep 12 14:24:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(812)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(812)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(813)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(814)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a52(814)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(814)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(814)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(814)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(814)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(814)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(814)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(814)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(815)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(810)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(811)@2da1c7ca7d3a: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(809)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(809): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a52-RSL(810): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(811): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a52(812): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a52(813): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a52(814): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a52(815): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Thu Sep 12 14:24:53 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5301026) Waiting for packet dumper to finish... 1 (prev_count=5301026, count=5306455) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Thu Sep 12 14:24:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(819)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(819)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(820)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(821)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a53(821)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(821)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(821)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(821)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(821)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(821)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(821)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(821)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(822)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(817)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(818)@2da1c7ca7d3a: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(816)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(816): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a53-RSL(817): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(818): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a53(819): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a53(820): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a53(821): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a53(822): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Thu Sep 12 14:25:02 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5302514) Waiting for packet dumper to finish... 1 (prev_count=5302514, count=5307687) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Thu Sep 12 14:25:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(826)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(826)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(827)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(828)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a54(828)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(828)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(828)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(828)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(828)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(828)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(828)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(828)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0B3BCF2F7B8927772294396234DEFB'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(829)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(824)@2da1c7ca7d3a: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(823)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(825)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(823): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a54-RSL(824): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(825): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a54(826): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a54(827): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a54(828): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_encr_cmd_a54(829): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Thu Sep 12 14:25:12 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5301482) Waiting for packet dumper to finish... 1 (prev_count=5301482, count=5301979) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Thu Sep 12 14:25:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(833)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(831)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(832)@2da1c7ca7d3a: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(830)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(830): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(831): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(832): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_mdisc(833): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Thu Sep 12 14:25:17 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1948472) Waiting for packet dumper to finish... 1 (prev_count=1948472, count=1954082) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Thu Sep 12 14:25:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(837)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(838)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(838)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(835)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(836)@2da1c7ca7d3a: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(834)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(834): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(835): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(836): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_msg_type(837): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_msg_type(838): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Thu Sep 12 14:25:23 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1983196) Waiting for packet dumper to finish... 1 (prev_count=1983196, count=1983693) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Thu Sep 12 14:25:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(842)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL(840)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(841)@2da1c7ca7d3a: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL-IPA(839)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(839): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(840): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(841): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_err_rep_wrong_sequence(842): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Thu Sep 12 14:25:29 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1964284) Waiting for packet dumper to finish... 1 (prev_count=1964284, count=1964781) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Thu Sep 12 14:25:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_lapdm_selftest started. MTC@2da1c7ca7d3a: "ui_s0_empty": matched MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: "ui_s3_empty": matched MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: "sabm_s0_empty": matched MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: "sabm_s0_l3": matched MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: "rr_s0_7": matched MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: "I/0/0": matched MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: "I/7/0": matched MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: No PTCs were created. MTC@2da1c7ca7d3a: Test case TC_lapdm_selftest finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Thu Sep 12 14:25:33 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=992904) Waiting for packet dumper to finish... 1 (prev_count=992904, count=1107225) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Thu Sep 12 14:25:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(846)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(846)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@2da1c7ca7d3a: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(847)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(847)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@2da1c7ca7d3a: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(848)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(848)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@2da1c7ca7d3a: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(849)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(849)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@2da1c7ca7d3a: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(850)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(850)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_tch_sign_l2_fill_frame(851)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(851)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(852)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(852)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@2da1c7ca7d3a: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(853)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(853)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@2da1c7ca7d3a: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(854)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(854)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@2da1c7ca7d3a: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(855)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(855)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(856)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(856)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(856)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(856)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(857)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(857)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(857)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(857)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(858)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(858)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(858)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(858)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(859)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(859)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(860)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(860)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(861)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(861)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(862)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(862)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(863)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(863)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(864)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(864)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(865)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(865)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@2da1c7ca7d3a: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(844)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(845)@2da1c7ca7d3a: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(843)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(843): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(844): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(845): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(846): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(847): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(848): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(849): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(850): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(851): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(852): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(853): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(854): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(855): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(856): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(857): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(858): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(859): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(860): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(861): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(862): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(863): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(864): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame(865): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Thu Sep 12 14:25:56 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16001305) Waiting for packet dumper to finish... 1 (prev_count=16001305, count=16001802) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Thu Sep 12 14:25:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(869)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(869)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(869)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(870)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(870)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(870)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(871)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(871)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(871)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(872)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(872)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(872)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(868)@2da1c7ca7d3a: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(867): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(868): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(869): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(870): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(871): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@2da1c7ca7d3a: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(872): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@2da1c7ca7d3a: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Thu Sep 12 14:26:02 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2936225) Waiting for packet dumper to finish... 1 (prev_count=2936225, count=2941398) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Thu Sep 12 14:26:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chopped_ipa_ping started. 873@2da1c7ca7d3a: sending byte '00'O 873@2da1c7ca7d3a: sending byte '01'O 873@2da1c7ca7d3a: sending byte 'FE'O 873@2da1c7ca7d3a: sending byte '00'O 873@2da1c7ca7d3a: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 873@2da1c7ca7d3a: received pong from "172.18.37.20" port 43351: '0001FE01'O 873@2da1c7ca7d3a: setverdict(pass): none -> pass 873@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 873: pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Thu Sep 12 14:26:32 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11900338) Waiting for packet dumper to finish... 1 (prev_count=11900338, count=11900835) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Thu Sep 12 14:26:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_chopped_ipa_payload started. 874@2da1c7ca7d3a: sending byte '04'O 874@2da1c7ca7d3a: sending byte '01'O 874@2da1c7ca7d3a: sending byte '08'O ("\b") 874@2da1c7ca7d3a: sending byte '01'O 874@2da1c7ca7d3a: sending byte '07'O ("\a") 874@2da1c7ca7d3a: sending byte '01'O 874@2da1c7ca7d3a: sending byte '02'O 874@2da1c7ca7d3a: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 874@2da1c7ca7d3a: received IPA message from "172.18.37.20" port 39821: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A32353A313400000202000001FE06'O 874@2da1c7ca7d3a: setverdict(pass): none -> pass 874@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 874: pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Thu Sep 12 14:27:19 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20343264) Waiting for packet dumper to finish... 1 (prev_count=20343264, count=20343761) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Thu Sep 12 14:27:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(881)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(876)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(877)@2da1c7ca7d3a: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(875)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(875): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(876): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(877): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_constant(878): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_constant(879): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_constant(880): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_constant(881): pass (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Thu Sep 12 14:27:40 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15666499) Waiting for packet dumper to finish... 1 (prev_count=15666499, count=15666996) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Thu Sep 12 14:27:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(887)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(888)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(883)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(884)@2da1c7ca7d3a: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(883): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(884): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(885): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(886): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(887): pass (fail -> fail) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(888): pass (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Thu Sep 12 14:28:16 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29028259) Waiting for packet dumper to finish... 1 (prev_count=29028259, count=29028756) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Thu Sep 12 14:28:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(892)@2da1c7ca7d3a: Final verdict of PTC: pass TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(893)@2da1c7ca7d3a: Final verdict of PTC: pass TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1495, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1499, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1503, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1508, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1512, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(894)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(890)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(891)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(889)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(889): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(890): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(891): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchf(892): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchf(893): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchf(894): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_speech_no_rtp_tchf finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass'. Thu Sep 12 14:28:28 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9646259) Waiting for packet dumper to finish... 1 (prev_count=9646259, count=9651432) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Thu Sep 12 14:28:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(898)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1044, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(899)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(896)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(895)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(897)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(895): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(896): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(897): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchh(898): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_no_rtp_tchh(899): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_speech_no_rtp_tchh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass'. Thu Sep 12 14:28:37 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5554276) Waiting for packet dumper to finish... 1 (prev_count=5554276, count=5559886) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Thu Sep 12 14:28:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(903)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(903)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_rtp_tchf(903)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(903)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@2da1c7ca7d3a: TCH received (len=33): 'D0ACD4F39B3AE6FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(903)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@2da1c7ca7d3a: TCH received (len=33): 'D0ACD4F39B3AE6FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(903)@2da1c7ca7d3a: TCH received (len=33): 'D0ACD4F39B3AE6FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(903)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@2da1c7ca7d3a: Final verdict of PTC: pass TC_speech_rtp_tchf(905)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(905)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_rtp_tchf(905)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(905)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(905)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(905)@2da1c7ca7d3a: TCH received (len=31): 'C0ACD4F39B3AE6000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(905)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(905)@2da1c7ca7d3a: TCH received (len=31): 'C0ACD4F39B3AE6000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(905)@2da1c7ca7d3a: TCH received (len=31): 'C0ACD4F39B3AE6000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(905)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(905)@2da1c7ca7d3a: Final verdict of PTC: pass TC_speech_rtp_tchf(907)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(907)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_rtp_tchf(907)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(907)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(907)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(907)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_rtp_tchf(907)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(907)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_rtp_tchf(907)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_rtp_tchf(907)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(907)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RTPEM(906)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_rtp_tchf-RSL(901)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(904)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(908)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(902)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(900)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(900): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchf-RSL(901): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(902): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchf(903): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(904): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchf(905): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(906): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchf(907): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(908): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Thu Sep 12 14:28:49 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9519626) Waiting for packet dumper to finish... 1 (prev_count=9519626, count=9520123) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Thu Sep 12 14:28:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(912)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(912)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_rtp_tchh(912)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(912)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(912)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(912)@2da1c7ca7d3a: TCH received (len=15): '00ACD4F39B3AE60000000000000000'O TC_speech_rtp_tchh(912)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(912)@2da1c7ca7d3a: TCH received (len=15): '00ACD4F39B3AE60000000000000000'O TC_speech_rtp_tchh(912)@2da1c7ca7d3a: TCH received (len=15): '00ACD4F39B3AE60000000000000000'O TC_speech_rtp_tchh(912)@2da1c7ca7d3a: TCH received (len=15): '00ACD4F39B3AE60000000000000000'O TC_speech_rtp_tchh(912)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(912)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(914)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(914)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_rtp_tchh(914)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(914)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(914)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(914)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_rtp_tchh(914)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(914)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_rtp_tchh(914)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_rtp_tchh(914)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(914)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(910)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(913)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(911)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(915)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(909)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(909): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchh-RSL(910): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(911): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchh(912): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(913): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchh(914): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(915): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Thu Sep 12 14:28:59 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5534873) Waiting for packet dumper to finish... 1 (prev_count=5534873, count=5535370) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Thu Sep 12 14:29:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_osmux_tchf(919)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(919)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(919)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(919)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: got 'ACD4F39B3AE6000000000000000000'O vs exp 'ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchf(919)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(919)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL(917)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(920)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(918)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(916)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(916): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_osmux_tchf-RSL(917): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(918): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_osmux_tchf(919): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(920): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Thu Sep 12 14:29:07 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4546004) Waiting for packet dumper to finish... 1 (prev_count=4546004, count=4551614) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Thu Sep 12 14:29:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_osmux_tchh(924)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_speech_osmux_tchh(924)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(924)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(924)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(924)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: TCH received (len=17): '2014ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: got 'ACD4F39B3AE6000000000000000000'O vs exp 'ACD4F39B3AE6000000000000000000'O TC_speech_osmux_tchh(924)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(924)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh-RSL(922)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(925)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(923)@2da1c7ca7d3a: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(921)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(921): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_osmux_tchh-RSL(922): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(923): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_osmux_tchh(924): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(925): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Thu Sep 12 14:29:15 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3775073) Waiting for packet dumper to finish... 1 (prev_count=3775073, count=3775570) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Thu Sep 12 14:29:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(929)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(929)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchf144(929)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(930)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(929)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(929)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@2da1c7ca7d3a: Test Component 929 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RSL-IPA(926)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf144-RSL(927)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(928)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf144(929)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144-RTPEM(930)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(926): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf144-RSL(927): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(928): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf144(929): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(930): none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Thu Sep 12 14:29:21 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2005513) Waiting for packet dumper to finish... 1 (prev_count=2005513, count=2006010) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Thu Sep 12 14:29:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(934)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchf96(934)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(935)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(934)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(934)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(934)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(935)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(934)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(934)@2da1c7ca7d3a: Final verdict of PTC: pass TC_data_rtp_tchf96(936)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(936)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchf96(936)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(937)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(936)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(936)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(936)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(937)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(936)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(936)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8728 IPA-CTRL-CLI-IPA(933)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf96-RSL(932)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(931)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(937)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(935)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(931): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf96-RSL(932): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(933): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf96(934): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(935): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf96(936): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(937): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Thu Sep 12 14:29:27 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2973911) Waiting for packet dumper to finish... 1 (prev_count=2973911, count=2979521) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Thu Sep 12 14:29:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(941)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchf48(941)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(942)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(941)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(941)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(941)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(942)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(941)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(941)@2da1c7ca7d3a: Final verdict of PTC: pass TC_data_rtp_tchf48(943)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(943)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchf48(943)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(944)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(943)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(943)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(943)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(944)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(943)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(943)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL(939)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(942)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(940)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(944)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(938)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(938): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf48-RSL(939): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(940): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf48(941): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(942): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf48(943): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(944): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Thu Sep 12 14:29:34 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2996623) Waiting for packet dumper to finish... 1 (prev_count=2996623, count=2997120) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Thu Sep 12 14:29:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(948)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(948)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchh48(948)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(949)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(948)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(948)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(948)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(949)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(948)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(948)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(950)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(950)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchh48(950)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(951)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(950)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(950)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(950)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(951)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(950)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(950)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(946)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(949)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(947)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(951)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(945)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(945): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh48-RSL(946): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(947): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh48(948): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(949): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh48(950): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(951): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Thu Sep 12 14:29:40 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2892385) Waiting for packet dumper to finish... 1 (prev_count=2892385, count=2892882) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Thu Sep 12 14:29:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(955)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchf24(955)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(956)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(955)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(955)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(955)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(956)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(955)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(955)@2da1c7ca7d3a: Final verdict of PTC: pass TC_data_rtp_tchf24(957)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(957)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchf24(957)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(958)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(957)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(957)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(957)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(958)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(957)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(957)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RSL(953)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(958)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(954)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(956)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(952)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(952): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf24-RSL(953): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(954): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf24(955): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(956): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf24(957): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(958): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Thu Sep 12 14:29:46 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2599397) Waiting for packet dumper to finish... 1 (prev_count=2599397, count=2599894) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Thu Sep 12 14:29:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(962)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(962)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchh24(962)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(963)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(962)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(962)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(962)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(963)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(962)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(962)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(964)@2da1c7ca7d3a: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(964)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_data_rtp_tchh24(964)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(965)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(964)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(964)@2da1c7ca7d3a: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(964)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(965)@2da1c7ca7d3a: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(964)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(964)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL(960)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(963)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(961)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(965)@2da1c7ca7d3a: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(959)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(959): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh24-RSL(960): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(961): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh24(962): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(963): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh24(964): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(965): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Thu Sep 12 14:29:53 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2889632) Waiting for packet dumper to finish... 1 (prev_count=2889632, count=2890129) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Thu Sep 12 14:29:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(969)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(969)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(969)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_early_immediate_assignment(969)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(969)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(969)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(970)@2da1c7ca7d3a: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(970)@2da1c7ca7d3a: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 0 }, tsc := 7, h := false, arfcn := 873, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 15 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(970)@2da1c7ca7d3a: Received IMM.ASS for our RACH! TC_early_immediate_assignment(970)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(970)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(970)@2da1c7ca7d3a: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":8891 TC_early_immediate_assignment-RSL(967)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(968)@2da1c7ca7d3a: Final verdict of PTC: none TC_early_immediate_assignment-RSL-IPA(966)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(966): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_early_immediate_assignment-RSL(967): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(968): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_early_immediate_assignment(969): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Local verdict of PTC TC_early_immediate_assignment(970): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2da1c7ca7d3a: Test case TC_early_immediate_assignment finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass'. Thu Sep 12 14:30:01 UTC 2024 ====== BTS_Tests.TC_early_immediate_assignment pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4108800) Waiting for packet dumper to finish... 1 (prev_count=4108800, count=4109297) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Thu Sep 12 14:30:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(974)@2da1c7ca7d3a: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(974)@2da1c7ca7d3a: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(974)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(974)@2da1c7ca7d3a: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(974)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@2da1c7ca7d3a: Test Component 974 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh-RSL(972)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(973)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh(974)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(971): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(972): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(973): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_rxqual_thresh(974): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@2da1c7ca7d3a: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail'. Thu Sep 12 14:30:16 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7054045) Waiting for packet dumper to finish... 1 (prev_count=7054045, count=7054670) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Thu Sep 12 14:30:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(978)@2da1c7ca7d3a: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(978)@2da1c7ca7d3a: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(978)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(978)@2da1c7ca7d3a: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(978)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@2da1c7ca7d3a: Test Component 978 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx(978)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(977)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(976): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(977): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(978): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@2da1c7ca7d3a: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail'. Thu Sep 12 14:30:30 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6956237) Waiting for packet dumper to finish... 1 (prev_count=6956237, count=6956734) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Thu Sep 12 14:30:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(982)@2da1c7ca7d3a: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(982)@2da1c7ca7d3a: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(982)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(982)@2da1c7ca7d3a: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(982)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@2da1c7ca7d3a: Test Component 982 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_facch-RSL(980)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_always_on_facch(982)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(981)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(979)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(979): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(980): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(981): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_always_on_facch(982): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@2da1c7ca7d3a: Test case TC_acch_overpower_always_on_facch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail'. Thu Sep 12 14:30:45 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_facch fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6958473) Waiting for packet dumper to finish... 1 (prev_count=6958473, count=6958970) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Thu Sep 12 14:30:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(986)@2da1c7ca7d3a: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(986)@2da1c7ca7d3a: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(986)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(986)@2da1c7ca7d3a: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(986)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@2da1c7ca7d3a: Test Component 986 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_sacch-RSL(984)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(985)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_always_on_sacch-RSL-IPA(983)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_always_on_sacch(986)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(983): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(984): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(985): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_always_on_sacch(986): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@2da1c7ca7d3a: Test case TC_acch_overpower_always_on_sacch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail'. Thu Sep 12 14:31:00 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_sacch fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6982595) Waiting for packet dumper to finish... 1 (prev_count=6982595, count=6983092) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Thu Sep 12 14:31:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(990)@2da1c7ca7d3a: RxLev(BCCH) := 30 TC_acch_overpower_limit(990)@2da1c7ca7d3a: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(990)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_acch_overpower_limit(990)@2da1c7ca7d3a: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(990)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@2da1c7ca7d3a: Test Component 990 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_limit-RSL(988)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_limit(990)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(989)@2da1c7ca7d3a: Final verdict of PTC: none TC_acch_overpower_limit-RSL-IPA(987)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(987): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_limit-RSL(988): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(989): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_acch_overpower_limit(990): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@2da1c7ca7d3a: Test case TC_acch_overpower_limit finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail'. Thu Sep 12 14:31:14 UTC 2024 ------ BTS_Tests.TC_acch_overpower_limit fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6967825) Waiting for packet dumper to finish... 1 (prev_count=6967825, count=6968322) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail' was executed successfully (exit status: 0). MC@2da1c7ca7d3a: Test execution finished. MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Thu Sep 12 14:31:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(992)@2da1c7ca7d3a: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(991)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(993)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(991): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(992): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(993): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Thu Sep 12 14:31:30 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6472599) Waiting for packet dumper to finish... 1 (prev_count=6472599, count=6473096) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Thu Sep 12 14:31:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(995)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(996)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(995): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(996): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Thu Sep 12 14:31:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10386504) Waiting for packet dumper to finish... 1 (prev_count=10386504, count=10387001) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Thu Sep 12 14:31:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(998)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(999)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(998): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(999): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Thu Sep 12 14:32:07 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10366888) Waiting for packet dumper to finish... 1 (prev_count=10366888, count=10372498) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Thu Sep 12 14:32:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1002)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(1001): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1002): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Thu Sep 12 14:32:26 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10381761) Waiting for packet dumper to finish... 1 (prev_count=10381761, count=10382258) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Thu Sep 12 14:32:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1005)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(1004): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1005): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Thu Sep 12 14:32:45 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10380284) Waiting for packet dumper to finish... 1 (prev_count=10380284, count=10380781) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Thu Sep 12 14:32:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 1 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 1 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 1 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 1 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 2 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 2 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 2 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 2 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3143, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1008)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(1007): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1008): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Thu Sep 12 14:33:09 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15869091) Waiting for packet dumper to finish... 1 (prev_count=15869091, count=15869588) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Thu Sep 12 14:33:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1011)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1010): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1011): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Thu Sep 12 14:33:28 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10379073) Waiting for packet dumper to finish... 1 (prev_count=10379073, count=10384246) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Thu Sep 12 14:33:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1014)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1013): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1014): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Thu Sep 12 14:33:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12201424) Waiting for packet dumper to finish... 1 (prev_count=12201424, count=12201921) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Thu Sep 12 14:33:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1017)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1017): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Thu Sep 12 14:34:10 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13138196) Waiting for packet dumper to finish... 1 (prev_count=13138196, count=13138693) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Thu Sep 12 14:34:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4418, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1020)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1020): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Thu Sep 12 14:34:41 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21340466) Waiting for packet dumper to finish... 1 (prev_count=21340466, count=21340963) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Thu Sep 12 14:34:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1022)@2da1c7ca7d3a: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1023)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1021): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1022): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1023): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Thu Sep 12 14:35:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7693612) Waiting for packet dumper to finish... 1 (prev_count=7693612, count=7694109) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Thu Sep 12 14:35:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1025)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1026)@2da1c7ca7d3a: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1024): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1025): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1026): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Thu Sep 12 14:35:24 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8741163) Waiting for packet dumper to finish... 1 (prev_count=8741163, count=8741660) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Thu Sep 12 14:35:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_1block started. MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1029)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1028): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1029): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Thu Sep 12 14:35:43 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8628191) Waiting for packet dumper to finish... 1 (prev_count=8628191, count=8633364) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Thu Sep 12 14:35:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_2block started. MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1032)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1031): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1032): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Thu Sep 12 14:36:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8617217) Waiting for packet dumper to finish... 1 (prev_count=8617217, count=8617714) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Thu Sep 12 14:36:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_3block started. MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1035)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1034): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1035): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Thu Sep 12 14:36:20 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8623198) Waiting for packet dumper to finish... 1 (prev_count=8623198, count=8623695) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Thu Sep 12 14:36:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_4block started. MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1038)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1037): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1038): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Thu Sep 12 14:36:39 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8635986) Waiting for packet dumper to finish... 1 (prev_count=8635986, count=8636483) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Thu Sep 12 14:36:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_multi started. MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 1 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 1 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 1 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 1 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 2 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 2 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 2 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 2 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1041)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1040): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1041): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Thu Sep 12 14:37:04 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12794978) Waiting for packet dumper to finish... 1 (prev_count=12794978, count=12795475) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Thu Sep 12 14:37:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_schedule started. MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2da1c7ca7d3a: Found block_nr 3 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1044)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1043): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1044): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Thu Sep 12 14:37:22 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8625987) Waiting for packet dumper to finish... 1 (prev_count=8625987, count=8631160) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Thu Sep 12 14:37:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1047)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1046): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1047): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Thu Sep 12 14:37:43 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12220155) Waiting for packet dumper to finish... 1 (prev_count=12220155, count=12220652) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Thu Sep 12 14:37:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2da1c7ca7d3a: Found block_nr 0 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2da1c7ca7d3a: Found block_nr 1 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2da1c7ca7d3a: Found block_nr 2 of msg 0 MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 2 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 3 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2da1c7ca7d3a: Found block_nr 0 of DEFAULT/NULL MTC@2da1c7ca7d3a: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2da1c7ca7d3a: Found block_nr 1 of DEFAULT/NULL MTC@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1050)@2da1c7ca7d3a: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1050): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Thu Sep 12 14:38:05 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10732137) Waiting for packet dumper to finish... 1 (prev_count=10732137, count=10732634) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Thu Sep 12 14:38:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1052)@2da1c7ca7d3a: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1053)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1051): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1052): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1053): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Thu Sep 12 14:38:25 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7735127) Waiting for packet dumper to finish... 1 (prev_count=7735127, count=7735624) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Thu Sep 12 14:38:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1055)@2da1c7ca7d3a: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1056)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1054): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1055): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1056): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Thu Sep 12 14:38:48 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8789284) Waiting for packet dumper to finish... 1 (prev_count=8789284, count=8789781) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Thu Sep 12 14:38:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Quantity of received ETWS PN segments: { 22, 21, 21, 21 } MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1058)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1059)@2da1c7ca7d3a: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1057)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1057): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_etws_p1ro-RSL(1058): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1059): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_etws_p1ro finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Thu Sep 12 14:39:05 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12077959) Waiting for packet dumper to finish... 1 (prev_count=12077959, count=12078456) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Thu Sep 12 14:39:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1061)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1062)@2da1c7ca7d3a: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1060)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1060): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_etws_p1ro_end-RSL(1061): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1062): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Thu Sep 12 14:39:25 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15739185) Waiting for packet dumper to finish... 1 (prev_count=15739185, count=15739682) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Thu Sep 12 14:39:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 TC_etws_pcu-RSL(1064)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1065)@2da1c7ca7d3a: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1063)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_etws_pcu-RSL-IPA(1063): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_etws_pcu-RSL(1064): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1065): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_etws_pcu finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Thu Sep 12 14:39:34 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3430893) Waiting for packet dumper to finish... 1 (prev_count=3430893, count=3431390) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@2da1c7ca7d3a: Test execution finished. MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Thu Sep 12 14:39:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1070@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1069)@2da1c7ca7d3a: setverdict(pass): none -> pass 1070@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1070@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1070@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1070@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1069)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1070@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1069)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1070@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1069)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1071)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1072@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1071)@2da1c7ca7d3a: setverdict(pass): none -> pass 1072@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1072@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1072@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1072@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1071)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1072@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1071)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1072@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1071)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1074@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1073)@2da1c7ca7d3a: setverdict(pass): none -> pass 1074@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1074@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1074@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1074@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1073)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1074@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1073)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1074@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1073)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1075)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1076@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1075)@2da1c7ca7d3a: setverdict(pass): none -> pass 1076@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1076@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1076@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1076@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1075)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1076@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1075)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1076@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1075)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1067)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1068)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1066): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1067): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1068): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1069): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1070: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1071): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1072: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1073): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1074: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1075): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1076: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Thu Sep 12 14:39:41 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3348505) Waiting for packet dumper to finish... 1 (prev_count=3348505, count=3349002) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Thu Sep 12 14:39:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1081@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1080)@2da1c7ca7d3a: setverdict(pass): none -> pass 1081@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1081@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1081@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1081@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1080)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1081@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1080)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1081@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1080)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1082)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1083@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1082)@2da1c7ca7d3a: setverdict(pass): none -> pass 1083@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1083@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1083@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1083@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1082)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1083@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1082)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1083@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1082)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1085@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1084)@2da1c7ca7d3a: setverdict(pass): none -> pass 1085@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1085@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1085@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1085@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1084)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1085@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1084)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1085@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1084)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1086)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1087@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1086)@2da1c7ca7d3a: setverdict(pass): none -> pass 1087@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1087@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1087@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1087@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1086)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1087@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1086)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1087@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1086)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1079)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1079): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1080): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1081: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1082): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1083: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1084): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1085: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1086): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1087: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass'. Thu Sep 12 14:40:07 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20427972) Waiting for packet dumper to finish... 1 (prev_count=20427972, count=20428906) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Thu Sep 12 14:40:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1092@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1091)@2da1c7ca7d3a: setverdict(pass): none -> pass 1092@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1092@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1092@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1092@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1091)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1092@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1091)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1092@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1091)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1093)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1094@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1093)@2da1c7ca7d3a: setverdict(pass): none -> pass 1094@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1094@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1094@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1094@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1093)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1094@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1093)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1094@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1093)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1096@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1095)@2da1c7ca7d3a: setverdict(pass): none -> pass 1096@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1096@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1096@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1096@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1095)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1096@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1095)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1096@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1095)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1097)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1098@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1097)@2da1c7ca7d3a: setverdict(pass): none -> pass 1098@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1098@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1098@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1098@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1097)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1098@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1097)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1098@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1097)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1089)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1090)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1088): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1089): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1090): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1091): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1092: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1093): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1094: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1095): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1096: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1097): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1098: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Thu Sep 12 14:40:33 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20393468) Waiting for packet dumper to finish... 1 (prev_count=20393468, count=20398641) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Thu Sep 12 14:40:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1103@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1102)@2da1c7ca7d3a: setverdict(pass): none -> pass 1103@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1103@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1103@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1103@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1102)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1103@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1102)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1103@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1102)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1104)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1105@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1104)@2da1c7ca7d3a: setverdict(pass): none -> pass 1105@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1105@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1105@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1105@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1104)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1105@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1104)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1105@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1104)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1107@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1106)@2da1c7ca7d3a: setverdict(pass): none -> pass 1107@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1107@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1107@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1107@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1106)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1107@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1106)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1107@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1106)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1108)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1109@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1108)@2da1c7ca7d3a: setverdict(pass): none -> pass 1109@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1109@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1109@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1109@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1108)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1109@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1108)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1109@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1108)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1100)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1101)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1099): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1100): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1101): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1102): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1103: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1104): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1105: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1106): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1107: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1108): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1109: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Thu Sep 12 14:40:59 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20355178) Waiting for packet dumper to finish... 1 (prev_count=20355178, count=20355675) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Thu Sep 12 14:41:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1114@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1113)@2da1c7ca7d3a: setverdict(pass): none -> pass 1114@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1114@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1114@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1114@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1113)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1114@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1113)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1114@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_contention(1113)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1115)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1116@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1115)@2da1c7ca7d3a: setverdict(pass): none -> pass 1116@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1116@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1116@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1116@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1115)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1116@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1115)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1116@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_contention(1115)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1118@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1117)@2da1c7ca7d3a: setverdict(pass): none -> pass 1118@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1118@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1118@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1118@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1117)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1118@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1117)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1118@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_contention(1117)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1119)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1120@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1119)@2da1c7ca7d3a: setverdict(pass): none -> pass 1120@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1120@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1120@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1120@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_sabm_contention(1119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1120@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1119)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1120@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_contention(1119)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1111)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1110)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1112)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_contention-RSL-IPA(1110): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_contention-RSL(1111): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1112): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_contention(1113): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1114: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_contention(1115): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1116: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_contention(1117): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1118: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_contention(1119): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1120: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_contention finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Thu Sep 12 14:41:25 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20398618) Waiting for packet dumper to finish... 1 (prev_count=20398618, count=20399115) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Thu Sep 12 14:41:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1125@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1124)@2da1c7ca7d3a: setverdict(pass): none -> pass 1125@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1125@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1125@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1125@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1124)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1125@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1124)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1125@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit(1124)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1126)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1127@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1126)@2da1c7ca7d3a: setverdict(pass): none -> pass 1127@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1127@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1127@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1127@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1126)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1127@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1126)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1127@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit(1126)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1129@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1128)@2da1c7ca7d3a: setverdict(pass): none -> pass 1129@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1129@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1129@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1129@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1128)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1129@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1128)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1129@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit(1128)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1130)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1131@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1130)@2da1c7ca7d3a: setverdict(pass): none -> pass 1131@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1131@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1131@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1131@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1130)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1131@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1130)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1131@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit(1130)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1122)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1123)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1121)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1121): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit-RSL(1122): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1123): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit(1124): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1125: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit(1126): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1127: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit(1128): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1129: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit(1130): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1131: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_retransmit finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Thu Sep 12 14:41:32 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3821537) Waiting for packet dumper to finish... 1 (prev_count=3821537, count=3826710) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Thu Sep 12 14:41:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1136@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1135)@2da1c7ca7d3a: setverdict(pass): none -> pass 1136@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1136@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1136@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1136@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1135)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1136@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1135)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1136@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit_bts(1135)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1137)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1138@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1137)@2da1c7ca7d3a: setverdict(pass): none -> pass 1138@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1138@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1138@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1138@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1137)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1138@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1137)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1138@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit_bts(1137)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1140@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1139)@2da1c7ca7d3a: setverdict(pass): none -> pass 1140@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1140@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1140@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1140@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1139)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1140@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1139)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1140@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit_bts(1139)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1141)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1142@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1141)@2da1c7ca7d3a: setverdict(pass): none -> pass 1142@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1142@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1142@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1142@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1141)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1142@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1141)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1142@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit_bts(1141)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1133)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1132)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1134)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1132): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1133): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1134): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit_bts(1135): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1136: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit_bts(1137): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1138: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit_bts(1139): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1140: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_retransmit_bts(1141): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1142: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_retransmit_bts finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass'. Thu Sep 12 14:42:58 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=74986124) Waiting for packet dumper to finish... 1 (prev_count=74986124, count=74991297) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Thu Sep 12 14:43:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1147@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): none -> pass 1147@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1147@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1147@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1147@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1147@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1147@2da1c7ca7d3a: Final verdict of PTC: none 1148@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1148@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1148@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1148@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1148@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1148@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1148@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1149@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1149@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1149@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1149@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1149@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1149@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1149@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1146)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1151@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): none -> pass 1151@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1151@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1151@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1151@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1151@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1151@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1152@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1152@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1152@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1152@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1152@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1152@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1152@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1153@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1153@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1153@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1153@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1153@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1153@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1153@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1150)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): none -> pass 1155@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1155@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1155@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1155@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1155@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1155@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1155@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1156@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1156@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1156@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1156@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1156@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1156@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1156@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1157@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1157@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1157@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1157@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1157@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1157@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1157@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1154)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1159@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): none -> pass 1159@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1159@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1159@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1159@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1159@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1159@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1160@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1160@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1160@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1160@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1160@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1160@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1160@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1161@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1161@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1161@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1161@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1161@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1161@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1161@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp(1158)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1144)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1145)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1143)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1143): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_invalid_resp-RSL(1144): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1145): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_invalid_resp(1146): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1147: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1148: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1149: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_invalid_resp(1150): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1151: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1152: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1153: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_invalid_resp(1154): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1155: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1156: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1157: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_invalid_resp(1158): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1159: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1160: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1161: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Thu Sep 12 14:43:27 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18365027) Waiting for packet dumper to finish... 1 (prev_count=18365027, count=18365961) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Thu Sep 12 14:43:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1166@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1165)@2da1c7ca7d3a: setverdict(pass): none -> pass 1166@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1166@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1166@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1166@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1165)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1166@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1165)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1166@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_dm(1165)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1167)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1168@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1167)@2da1c7ca7d3a: setverdict(pass): none -> pass 1168@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1168@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1168@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1168@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1167)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1168@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1167)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1168@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_dm(1167)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1170@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1169)@2da1c7ca7d3a: setverdict(pass): none -> pass 1170@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1170@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1170@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1170@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1169)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1170@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1169)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1170@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_dm(1169)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1171)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1172@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1171)@2da1c7ca7d3a: setverdict(pass): none -> pass 1172@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1172@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1172@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1172@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1171)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1172@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1171)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1172@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_dm(1171)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1163)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1164)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1162)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_dm-RSL-IPA(1162): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_dm-RSL(1163): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1164): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_dm(1165): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1166: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_dm(1167): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1168: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_dm(1169): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1170: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_dm(1171): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1172: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_sabm_dm finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Thu Sep 12 14:43:45 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13732874) Waiting for packet dumper to finish... 1 (prev_count=13732874, count=13733371) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Thu Sep 12 14:43:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1177@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1176)@2da1c7ca7d3a: setverdict(pass): none -> pass 1177@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1177@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1177@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1177@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1176)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1177@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1176)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1177@2da1c7ca7d3a: Final verdict of PTC: none TC_establish_ign_first_sabm(1176)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1178)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1179@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1178)@2da1c7ca7d3a: setverdict(pass): none -> pass 1179@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1179@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1179@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1179@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1178)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1179@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1178)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1179@2da1c7ca7d3a: Final verdict of PTC: none TC_establish_ign_first_sabm(1178)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1181@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1180)@2da1c7ca7d3a: setverdict(pass): none -> pass 1181@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1181@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1181@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1181@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1180)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1181@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1180)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1181@2da1c7ca7d3a: Final verdict of PTC: none TC_establish_ign_first_sabm(1180)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1182)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1183@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1182)@2da1c7ca7d3a: setverdict(pass): none -> pass 1183@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1183@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1183@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1183@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1182)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1183@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1182)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1183@2da1c7ca7d3a: Final verdict of PTC: none TC_establish_ign_first_sabm(1182)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1174)@2da1c7ca7d3a: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1173)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1175)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1173): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1174): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1175): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_establish_ign_first_sabm(1176): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1177: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_establish_ign_first_sabm(1178): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1179: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_establish_ign_first_sabm(1180): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1181: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_establish_ign_first_sabm(1182): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1183: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Thu Sep 12 14:43:58 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7848917) Waiting for packet dumper to finish... 1 (prev_count=7848917, count=7854218) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Thu Sep 12 14:44:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1188@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: setverdict(pass): none -> pass 1188@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1188@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1188@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1188@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 0 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 1 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 2 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 3 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 4 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 5 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 6 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 7 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 8 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Starting iteration 9 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Completed iteration 1188@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1188@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_seq_and_ack(1187)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1190@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: setverdict(pass): none -> pass 1190@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1190@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1190@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1190@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 0 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 1 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 2 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 3 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 4 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 5 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 6 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 7 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 8 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Starting iteration 9 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Completed iteration 1190@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1190@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_seq_and_ack(1189)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1192@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: setverdict(pass): none -> pass 1192@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1192@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1192@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1192@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 0 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 1 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 2 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 3 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 4 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 5 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 6 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 7 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 8 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Starting iteration 9 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Completed iteration 1192@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1192@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_seq_and_ack(1191)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: setverdict(pass): none -> pass 1194@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1194@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1194@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1194@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1194@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: Starting iteration 0 TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: Starting iteration 1 TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND <unbound>", new component reason: "Received RSL ERROR IND <unbound>" TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: Starting iteration 2 TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 1193 has requested to stop MTC. Terminating current testcase execution. 1194@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL(1185)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1186)@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1184)@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_seq_and_ack(1193)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Received RSL ERROR IND <unbound>" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1184): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1185): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1186): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_seq_and_ack(1187): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1188: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_seq_and_ack(1189): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1190: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_seq_and_ack(1191): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1192: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_seq_and_ack(1193): fail (pass -> fail) reason: "Received RSL ERROR IND <unbound>" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1194: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_iframe_seq_and_ack finished. Verdict: fail reason: Received RSL ERROR IND <unbound> MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail'. Thu Sep 12 14:44:32 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24789768) Waiting for packet dumper to finish... 1 (prev_count=24789768, count=24790265) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Thu Sep 12 14:44:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1199@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1198)@2da1c7ca7d3a: setverdict(pass): none -> pass 1199@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1199@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1199@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1199@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1199@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1198)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1199@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_timer_recovery(1198)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1200)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1201@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1200)@2da1c7ca7d3a: setverdict(pass): none -> pass 1201@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1201@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1201@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1201@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1201@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1200)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1201@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_timer_recovery(1200)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1203@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1202)@2da1c7ca7d3a: setverdict(pass): none -> pass 1203@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1203@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1203@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1203@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1203@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1202)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1203@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_timer_recovery(1202)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1204)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1205@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1204)@2da1c7ca7d3a: setverdict(pass): none -> pass 1205@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1205@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1205@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1205@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_timer_recovery(1204)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND <unbound>", new component reason: "Received RSL ERROR IND <unbound>" TC_iframe_timer_recovery(1204)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_iframe_timer_recovery(1204)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 1204 has requested to stop MTC. Terminating current testcase execution. 1205@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_timer_recovery-RSL(1196)@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1195)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1197)@2da1c7ca7d3a: Final verdict of PTC: none TC_iframe_timer_recovery(1204)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Received RSL ERROR IND <unbound>" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1195): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_timer_recovery-RSL(1196): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1197): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_timer_recovery(1198): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1199: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_timer_recovery(1200): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1201: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_timer_recovery(1202): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1203: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_iframe_timer_recovery(1204): fail (pass -> fail) reason: "Received RSL ERROR IND <unbound>" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1205: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_iframe_timer_recovery finished. Verdict: fail reason: Received RSL ERROR IND <unbound> MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail'. Thu Sep 12 14:45:01 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20238339) Waiting for packet dumper to finish... 1 (prev_count=20238339, count=20238836) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Thu Sep 12 14:45:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1210@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1209)@2da1c7ca7d3a: setverdict(pass): none -> pass 1210@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1210@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1210@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1210@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1209)@2da1c7ca7d3a: Warning: Re-starting timer T1, which is already active (running or expired). 1210@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1209)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1210@2da1c7ca7d3a: Final verdict of PTC: none TC_ns_seq_error(1209)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1209)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1211)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1212@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1211)@2da1c7ca7d3a: setverdict(pass): none -> pass 1212@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1212@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1212@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1212@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1211)@2da1c7ca7d3a: Warning: Re-starting timer T1, which is already active (running or expired). 1212@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1211)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1212@2da1c7ca7d3a: Final verdict of PTC: none TC_ns_seq_error(1211)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1211)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1214@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1213)@2da1c7ca7d3a: setverdict(pass): none -> pass 1214@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1214@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1214@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1214@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1213)@2da1c7ca7d3a: Warning: Re-starting timer T1, which is already active (running or expired). 1214@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1213)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1214@2da1c7ca7d3a: Final verdict of PTC: none TC_ns_seq_error(1213)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1213)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1215)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1216@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1215)@2da1c7ca7d3a: setverdict(pass): none -> pass 1216@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1216@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1216@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1216@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1215)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_ns_seq_error(1215)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 1215 has requested to stop MTC. Terminating current testcase execution. 1216@2da1c7ca7d3a: Final verdict of PTC: none TC_ns_seq_error-RSL(1207)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1208)@2da1c7ca7d3a: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1206)@2da1c7ca7d3a: Final verdict of PTC: none TC_ns_seq_error(1215)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1206): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ns_seq_error-RSL(1207): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1208): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ns_seq_error(1209): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1210: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ns_seq_error(1211): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1212: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ns_seq_error(1213): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1214: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_ns_seq_error(1215): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1216: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_ns_seq_error finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail'. Thu Sep 12 14:45:35 UTC 2024 ------ BTS_Tests_LAPDm.TC_ns_seq_error fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=25185977) Waiting for packet dumper to finish... 1 (prev_count=25185977, count=25186474) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Thu Sep 12 14:45:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1221@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1220)@2da1c7ca7d3a: setverdict(pass): none -> pass 1221@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1221@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1221@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1221@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1221@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1220)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1221@2da1c7ca7d3a: Final verdict of PTC: none TC_nr_seq_error(1220)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1220)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_nr_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_nr_seq_error(1222)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1223@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1222)@2da1c7ca7d3a: setverdict(pass): none -> pass 1223@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1223@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1223@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1223@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1223@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1222)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1223@2da1c7ca7d3a: Final verdict of PTC: none TC_nr_seq_error(1222)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1222)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_nr_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1225@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1224)@2da1c7ca7d3a: setverdict(pass): none -> pass 1225@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1225@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1225@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1225@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1225@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1224)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1225@2da1c7ca7d3a: Final verdict of PTC: none TC_nr_seq_error(1224)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1224)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_nr_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_nr_seq_error(1226)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1227@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1226)@2da1c7ca7d3a: setverdict(pass): none -> pass 1227@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1227@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1227@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1227@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1227@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1226)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1227@2da1c7ca7d3a: Final verdict of PTC: none TC_nr_seq_error(1226)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1226)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_nr_seq_error-RSL(1218)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1219)@2da1c7ca7d3a: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1217)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1217): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_nr_seq_error-RSL(1218): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1219): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_nr_seq_error(1220): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1221: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_nr_seq_error(1222): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1223: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_nr_seq_error(1224): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1225: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_nr_seq_error(1226): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1227: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_nr_seq_error finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass'. Thu Sep 12 14:45:43 UTC 2024 ====== BTS_Tests_LAPDm.TC_nr_seq_error pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3627350) Waiting for packet dumper to finish... 1 (prev_count=3627350, count=3632523) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Thu Sep 12 14:45:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1232@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1231)@2da1c7ca7d3a: setverdict(pass): none -> pass 1232@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1232@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1232@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1232@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1232@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1231)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1232@2da1c7ca7d3a: Final verdict of PTC: none TC_rec_invalid_frame(1231)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1231)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1233)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1234@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1233)@2da1c7ca7d3a: setverdict(pass): none -> pass 1234@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1234@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1234@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1234@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1234@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1233)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1234@2da1c7ca7d3a: Final verdict of PTC: none TC_rec_invalid_frame(1233)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1233)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1236@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1235)@2da1c7ca7d3a: setverdict(pass): none -> pass 1236@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1236@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1236@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1236@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1236@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1235)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1236@2da1c7ca7d3a: Final verdict of PTC: none TC_rec_invalid_frame(1235)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1235)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1237)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1238@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1237)@2da1c7ca7d3a: setverdict(pass): none -> pass 1238@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1238@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1238@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1238@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rec_invalid_frame(1237)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"", new component reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" TC_rec_invalid_frame(1237)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":909 MC@2da1c7ca7d3a: Test Component 1237 has requested to stop MTC. Terminating current testcase execution. 1238@2da1c7ca7d3a: Final verdict of PTC: none TC_rec_invalid_frame-RSL(1229)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1230)@2da1c7ca7d3a: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1228)@2da1c7ca7d3a: Final verdict of PTC: none TC_rec_invalid_frame(1237)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1228): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rec_invalid_frame-RSL(1229): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1230): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rec_invalid_frame(1231): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1232: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rec_invalid_frame(1233): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1234: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rec_invalid_frame(1235): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1236: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rec_invalid_frame(1237): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1238: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_rec_invalid_frame finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail'. Thu Sep 12 14:47:46 UTC 2024 ------ BTS_Tests_LAPDm.TC_rec_invalid_frame fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=111744428) Waiting for packet dumper to finish... 1 (prev_count=111744428, count=111744925) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Thu Sep 12 14:47:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1243@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1242)@2da1c7ca7d3a: setverdict(pass): none -> pass 1243@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1243@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1243@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1243@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1242)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1243@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1242)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1243@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_dcch(1242)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1244)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1245@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1244)@2da1c7ca7d3a: setverdict(pass): none -> pass 1245@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1245@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1245@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1245@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1244)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1245@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1244)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1245@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_dcch(1244)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1247@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1246)@2da1c7ca7d3a: setverdict(pass): none -> pass 1247@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1247@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1247@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1247@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1246)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1247@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1246)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1247@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_dcch(1246)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1248)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1249@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1248)@2da1c7ca7d3a: setverdict(pass): none -> pass 1249@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1249@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1249@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1249@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1248)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch(1248)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@2da1c7ca7d3a: Test Component 1248 has requested to stop MTC. Terminating current testcase execution. 1249@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_dcch-RSL(1240)@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_dcch-RSL-IPA(1239)@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_dcch(1248)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" IPA-CTRL-CLI-IPA(1241)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1239): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_dcch-RSL(1240): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1241): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_dcch(1242): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1243: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_dcch(1244): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1245: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_dcch(1246): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1247: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_dcch(1248): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1249: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_segm_concat_dcch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail'. Thu Sep 12 14:48:05 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_dcch fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9714529) Waiting for packet dumper to finish... 1 (prev_count=9714529, count=9715026) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Thu Sep 12 14:48:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1254@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1253)@2da1c7ca7d3a: setverdict(pass): none -> pass 1254@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1254@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1254@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1254@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1253)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1254@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1253)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1254@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_sacch(1253)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1255)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1256@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1255)@2da1c7ca7d3a: setverdict(pass): none -> pass 1256@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1256@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1256@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1256@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1255)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1256@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1255)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1256@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_sacch(1255)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1258@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1257)@2da1c7ca7d3a: setverdict(pass): none -> pass 1258@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1258@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1258@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1258@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1257)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1258@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1257)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1258@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_sacch(1257)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1259)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1260@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1259)@2da1c7ca7d3a: setverdict(pass): none -> pass 1260@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1260@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1260@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1260@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1259)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" TC_segm_concat_sacch(1259)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@2da1c7ca7d3a: Test Component 1259 has requested to stop MTC. Terminating current testcase execution. 1260@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_sacch-RSL(1251)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1252)@2da1c7ca7d3a: Final verdict of PTC: none TC_segm_concat_sacch(1259)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" TC_segm_concat_sacch-RSL-IPA(1250)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1250): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_sacch-RSL(1251): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1252): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_sacch(1253): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1254: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_sacch(1255): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1256: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_sacch(1257): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1258: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_segm_concat_sacch(1259): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1260: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_segm_concat_sacch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail'. Thu Sep 12 14:48:58 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_sacch fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=46987244) Waiting for packet dumper to finish... 1 (prev_count=46987244, count=46992417) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Thu Sep 12 14:49:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1262)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1262)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1262)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_t200_n200-RSL(1262)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1265@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1264)@2da1c7ca7d3a: setverdict(pass): none -> pass 1265@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1265@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1265@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1265@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1264)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1265@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1264)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1265@2da1c7ca7d3a: Final verdict of PTC: none TC_t200_n200(1264)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1266)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1267@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1266)@2da1c7ca7d3a: setverdict(pass): none -> pass 1267@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1267@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1267@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1267@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1266)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1267@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1266)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1267@2da1c7ca7d3a: Final verdict of PTC: none TC_t200_n200(1266)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1269@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1268)@2da1c7ca7d3a: setverdict(pass): none -> pass 1269@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1269@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1269@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1269@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1268)@2da1c7ca7d3a: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1269@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1268)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1269@2da1c7ca7d3a: Final verdict of PTC: none TC_t200_n200(1268)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1270)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1271@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1270)@2da1c7ca7d3a: setverdict(pass): none -> pass 1271@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1271@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1271@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1271@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1270)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Missing RSL RLL ERROR INDICATION", new component reason: "Missing RSL RLL ERROR INDICATION" 1271@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1271@2da1c7ca7d3a: Final verdict of PTC: none TC_t200_n200(1270)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Missing RSL RLL ERROR INDICATION" MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_t200_n200-RSL(1262)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1263)@2da1c7ca7d3a: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1261)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_t200_n200-RSL-IPA(1261): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_t200_n200-RSL(1262): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1263): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_t200_n200(1264): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1265: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_t200_n200(1266): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1267: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_t200_n200(1268): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1269: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_t200_n200(1270): fail (pass -> fail) reason: "Missing RSL RLL ERROR INDICATION" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1271: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_t200_n200 finished. Verdict: fail reason: Missing RSL RLL ERROR INDICATION MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 fail'. Thu Sep 12 14:49:48 UTC 2024 ------ BTS_Tests_LAPDm.TC_t200_n200 fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=38133175) Waiting for packet dumper to finish... 1 (prev_count=38133175, count=38138785) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Thu Sep 12 14:49:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1276@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1275)@2da1c7ca7d3a: setverdict(pass): none -> pass 1276@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1276@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1276@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1276@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1275)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1276@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1275)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1276@2da1c7ca7d3a: Final verdict of PTC: none TC_rr_response_frame_loss(1275)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1277)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1278@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1277)@2da1c7ca7d3a: setverdict(pass): none -> pass 1278@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1278@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1278@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1278@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1277)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1278@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1277)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1278@2da1c7ca7d3a: Final verdict of PTC: none TC_rr_response_frame_loss(1277)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1280@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1279)@2da1c7ca7d3a: setverdict(pass): none -> pass 1280@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1280@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1280@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1280@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1279)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1280@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1279)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1280@2da1c7ca7d3a: Final verdict of PTC: none TC_rr_response_frame_loss(1279)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1281)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1282@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1281)@2da1c7ca7d3a: setverdict(pass): none -> pass 1282@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1282@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1282@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1282@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: IPA: Closed TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Lost IPA connection! (conn_id=5) IPA-CTRL-CLI-IPA(1274)@2da1c7ca7d3a: IPA: Closed TC_rr_response_frame_loss-RSL-IPA(1272)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1274)@2da1c7ca7d3a: Final verdict of PTC: none TC_rr_response_frame_loss(1281)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rr_response_frame_loss(1281)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 1281 has requested to stop MTC. Terminating current testcase execution. 1282@2da1c7ca7d3a: Final verdict of PTC: none TC_rr_response_frame_loss-RSL(1273)@2da1c7ca7d3a: Final verdict of PTC: none TC_rr_response_frame_loss(1281)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1272): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rr_response_frame_loss-RSL(1273): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1274): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rr_response_frame_loss(1275): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1276: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rr_response_frame_loss(1277): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1278: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rr_response_frame_loss(1279): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1280: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_rr_response_frame_loss(1281): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1282: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_rr_response_frame_loss finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail'. Thu Sep 12 14:50:20 UTC 2024 ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8255580) Waiting for packet dumper to finish... 1 (prev_count=8255580, count=9246902) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Thu Sep 12 14:50:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1287@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1286)@2da1c7ca7d3a: setverdict(pass): none -> pass 1287@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1287@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1287@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1287@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1287@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1286)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1287@2da1c7ca7d3a: Final verdict of PTC: none TC_incorrect_cr(1286)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1288)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1289@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1288)@2da1c7ca7d3a: setverdict(pass): none -> pass 1289@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1289@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1289@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1289@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1289@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1288)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_incorrect_cr(1288)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1289@2da1c7ca7d3a: Final verdict of PTC: none 1291@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1290)@2da1c7ca7d3a: setverdict(pass): none -> pass 1291@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1291@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1291@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1291@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1291@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1290)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1291@2da1c7ca7d3a: Final verdict of PTC: none TC_incorrect_cr(1290)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1292)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1293@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1292)@2da1c7ca7d3a: setverdict(pass): none -> pass 1293@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1293@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1293@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1293@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1293@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1292)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1293@2da1c7ca7d3a: Final verdict of PTC: none TC_incorrect_cr(1292)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1284)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1285)@2da1c7ca7d3a: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1283)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1283): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_incorrect_cr-RSL(1284): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1285): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_incorrect_cr(1286): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1287: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_incorrect_cr(1288): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1289: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_incorrect_cr(1290): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1291: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_incorrect_cr(1292): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1293: none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_incorrect_cr finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Thu Sep 12 14:50:42 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15011686) Waiting for packet dumper to finish... 1 (prev_count=15011686, count=15016859) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Thu Sep 12 14:50:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1298@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1297)@2da1c7ca7d3a: setverdict(pass): none -> pass 1298@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1298@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1298@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1298@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1298@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1297)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1298@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_incorrect_c(1297)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1299)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1300@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1299)@2da1c7ca7d3a: setverdict(pass): none -> pass 1300@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1300@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1300@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1300@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1300@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1299)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1300@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_incorrect_c(1299)@2da1c7ca7d3a: Final verdict of PTC: pass 1302@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1301)@2da1c7ca7d3a: setverdict(pass): none -> pass 1302@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1302@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1302@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1302@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1302@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1301)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sabm_incorrect_c(1301)@2da1c7ca7d3a: Final verdict of PTC: pass 1302@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1303)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1304@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1303)@2da1c7ca7d3a: setverdict(pass): none -> pass 1304@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1304@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1304@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1304@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_incorrect_c(1303)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_sabm_incorrect_c(1303)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 1303 has requested to stop MTC. Terminating current testcase execution. 1304@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_incorrect_c-RSL(1295)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1294)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1296)@2da1c7ca7d3a: Final verdict of PTC: none TC_sabm_incorrect_c(1303)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1294): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_incorrect_c-RSL(1295): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1296): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_incorrect_c(1297): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1298: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_incorrect_c(1299): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1300: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_incorrect_c(1301): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1302: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_sabm_incorrect_c(1303): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1304: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_sabm_incorrect_c finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail'. Thu Sep 12 14:51:10 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18808831) Waiting for packet dumper to finish... 1 (prev_count=18808831, count=18809328) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Thu Sep 12 14:51:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1309@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1308)@2da1c7ca7d3a: setverdict(pass): none -> pass 1309@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1309@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1309@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1309@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1308)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1308)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1309@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1308)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1309@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment(1308)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1310)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1311@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1310)@2da1c7ca7d3a: setverdict(pass): none -> pass 1311@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1311@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1311@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1311@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1310)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1310)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1311@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1310)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1311@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment(1310)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_normal_reestablishment(1312)@2da1c7ca7d3a: setverdict(pass): none -> pass 1313@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1313@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1313@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1313@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1313@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1312)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1312)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1313@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1312)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1313@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment(1312)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1314)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1315@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1314)@2da1c7ca7d3a: setverdict(pass): none -> pass 1315@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1315@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1315@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1315@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1314)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '0C7291E216'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '0C7291E216'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" TC_normal_reestablishment(1314)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@2da1c7ca7d3a: Test Component 1314 has requested to stop MTC. Terminating current testcase execution. TC_normal_reestablishment-RSL(1306)@2da1c7ca7d3a: Final verdict of PTC: none 1315@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1305)@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment(1314)@2da1c7ca7d3a: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '0C7291E216'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" IPA-CTRL-CLI-IPA(1307)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1305): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment-RSL(1306): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1307): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment(1308): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1309: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment(1310): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1311: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment(1312): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1313: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment(1314): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '0C7291E216'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1315: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_normal_reestablishment finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '0C7291E216'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }" MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail'. Thu Sep 12 14:51:31 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16001338) Waiting for packet dumper to finish... 1 (prev_count=16001338, count=16001835) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Thu Sep 12 14:51:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1320@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1319)@2da1c7ca7d3a: setverdict(pass): none -> pass 1320@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1320@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1320@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1320@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1319)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1320@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1319)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1320@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1319)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1321)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1322@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1321)@2da1c7ca7d3a: setverdict(pass): none -> pass 1322@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1322@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1322@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1322@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1321)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1322@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1321)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1322@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1321)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1324@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1323)@2da1c7ca7d3a: setverdict(pass): none -> pass 1324@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1324@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1324@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1324@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1323)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1324@2da1c7ca7d3a: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1323)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed 1324@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1323)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1325)@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1326@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1325)@2da1c7ca7d3a: setverdict(pass): none -> pass 1326@2da1c7ca7d3a: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1326@2da1c7ca7d3a: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1326@2da1c7ca7d3a: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1326@2da1c7ca7d3a: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1325)@2da1c7ca7d3a: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND <unbound>", new component reason: "Received RSL ERROR IND <unbound>" TC_normal_reestablishment_state_unacked(1325)@2da1c7ca7d3a: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_normal_reestablishment_state_unacked(1325)@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2da1c7ca7d3a: Test Component 1325 has requested to stop MTC. Terminating current testcase execution. 1326@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL(1317)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1318)@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@2da1c7ca7d3a: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1325)@2da1c7ca7d3a: Final verdict of PTC: fail reason: "Received RSL ERROR IND <unbound>" MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1316): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1317): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1318): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment_state_unacked(1319): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1320: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment_state_unacked(1321): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1322: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment_state_unacked(1323): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1324: none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_normal_reestablishment_state_unacked(1325): fail (pass -> fail) reason: "Received RSL ERROR IND <unbound>" MTC@2da1c7ca7d3a: Local verdict of PTC with component reference 1326: none (fail -> fail) MTC@2da1c7ca7d3a: Test case TC_normal_reestablishment_state_unacked finished. Verdict: fail reason: Received RSL ERROR IND <unbound> MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail'. Thu Sep 12 14:52:05 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail ------ Saving talloc report from 172.18.37.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=25031980) Waiting for packet dumper to finish... 1 (prev_count=25031980, count=25032477) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail' was executed successfully (exit status: 0). MC@2da1c7ca7d3a: Test execution finished. MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Thu Sep 12 14:52:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1330)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1331)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1330)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1330)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vff(1331)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1331)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vff(1332)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1333)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1332)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1332)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vff(1333)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1333)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vff(1334)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1335)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1334)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1334)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vff(1335)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1335)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vff(1336)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1337)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1336)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1336)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vff(1337)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1337)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1328)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1327)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1329)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1327): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1328): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1329): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff(1330): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff(1331): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff(1332): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff(1333): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff(1334): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff(1335): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff(1336): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vff(1337): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Thu Sep 12 14:52:12 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2904256) Waiting for packet dumper to finish... 1 (prev_count=2904256, count=2904753) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Thu Sep 12 14:52:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1341)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1342)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1343)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1344)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1341)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1341)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1342)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1342)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1343)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1343)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1344)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1344)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1345)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1346)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1347)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1348)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1345)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1345)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1346)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1346)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1347)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1347)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1348)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1348)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1349)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1350)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1351)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1352)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1349)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1349)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1350)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1350)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1351)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1351)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1352)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1352)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1353)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1354)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1355)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1356)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1353)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1353)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1354)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1354)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1355)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1355)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1356)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1356)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1339)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1340)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1338)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1338): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1339): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1340): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1341): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1342): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1343): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1344): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1345): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1346): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1347): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1348): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1349): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1350): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1351): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1352): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1353): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1354): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1355): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_vhh(1356): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Thu Sep 12 14:52:19 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3133573) Waiting for packet dumper to finish... 1 (prev_count=3133573, count=3134070) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Thu Sep 12 14:52:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1360)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1361)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1362)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1360)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1360)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1361)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1361)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1362)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1362)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1363)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1364)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1365)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1363)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1363)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1364)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1364)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1365)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1365)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1366)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1367)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1368)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1366)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1366)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1367)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1367)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1368)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1368)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1369)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1370)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1371)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1369)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1369)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1370)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1370)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1371)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1371)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1358)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1359)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1357)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1357): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1358): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1359): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1360): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1361): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1362): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1363): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1364): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1365): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1366): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1367): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1368): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1369): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1370): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_hvhh(1371): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Thu Sep 12 14:52:26 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3038163) Waiting for packet dumper to finish... 1 (prev_count=3038163, count=3038660) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Thu Sep 12 14:52:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1375)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1376)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1375)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1375)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1376)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1376)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1377)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1378)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1377)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1377)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1378)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1378)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1379)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1380)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1379)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1379)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1380)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1380)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1381)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1382)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1382)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1381)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1382)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1381)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 IPA-CTRL-CLI-IPA(1374)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1373): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1374): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1375): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1376): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1377): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1378): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1379): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1380): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1381): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1382): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Thu Sep 12 14:52:33 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2951571) Waiting for packet dumper to finish... 1 (prev_count=2951571, count=2956744) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Thu Sep 12 14:52:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1387)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1386)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1387)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1386)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1387)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1386)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1388)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1389)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1388)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1388)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1389)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1389)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1390)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1391)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1390)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1390)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1391)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1391)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1392)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1393)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1392)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1392)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1393)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1393)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1385)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1384): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1385): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1386): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1387): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1388): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1389): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1390): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1391): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1392): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1393): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Thu Sep 12 14:52:40 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2929189) Waiting for packet dumper to finish... 1 (prev_count=2929189, count=2929686) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Thu Sep 12 14:52:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1397)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1398)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1399)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1400)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1397)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1397)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1398)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1398)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1399)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1399)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1400)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1400)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1401)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1402)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1403)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1404)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1401)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1401)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1402)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1402)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1403)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1403)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1404)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1404)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1405)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1406)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1407)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1408)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1405)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1405)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1406)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1406)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1407)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1407)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1408)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1408)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1409)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1410)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1411)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1412)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1409)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1409)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1410)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1410)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1411)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1411)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1412)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1412)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1396)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1396): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1397): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1398): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1399): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1400): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1401): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1402): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1403): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1404): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1405): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1406): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1407): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1408): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1409): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1410): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1411): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1412): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Thu Sep 12 14:52:47 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3115823) Waiting for packet dumper to finish... 1 (prev_count=3115823, count=3116757) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Thu Sep 12 14:52:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1416)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1417)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1418)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1416)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1416)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1417)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1417)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1418)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1418)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1419)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1420)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1421)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1419)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1419)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1420)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1420)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1421)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1421)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1422)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1423)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1424)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1422)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1422)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1423)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1423)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1424)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1424)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1425)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1426)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1427)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1425)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1425)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1426)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1426)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1427)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1427)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1415)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1415): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1416): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1417): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1418): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1419): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1420): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1421): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1422): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1423): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1424): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1425): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1426): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1427): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Thu Sep 12 14:52:54 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3015706) Waiting for packet dumper to finish... 1 (prev_count=3015706, count=3016203) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Thu Sep 12 14:52:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1431)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1431)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1431)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1431)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1432)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1432)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1432)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1432)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1433)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1433)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1433)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1433)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1434)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1434)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1434)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1434)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1435)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1435)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1435)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1435)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1436)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1436)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1436)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1436)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1437)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1437)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1437)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1437)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1438)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1438)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1438)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1438)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vff-RSL(1429)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1430)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1428): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1429): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1430): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1431): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1432): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1433): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1434): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1435): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1436): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1437): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1438): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Thu Sep 12 14:53:00 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2151889) Waiting for packet dumper to finish... 1 (prev_count=2151889, count=2152386) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Thu Sep 12 14:53:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1442)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1442)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1442)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1442)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1443)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1443)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1443)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1443)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1444)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1444)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1444)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1444)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1445)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1445)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1445)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1445)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1446)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1446)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1446)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1446)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1447)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1447)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1447)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1447)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1448)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1448)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1448)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1448)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1449)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1449)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1449)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1449)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1450)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1450)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1450)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1450)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1451)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1451)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1451)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1451)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1452)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1452)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1452)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1452)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1453)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1453)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1453)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1453)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1454)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1454)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1454)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1454)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1455)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1455)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1455)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1455)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1456)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1456)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1456)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1456)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1457)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1457)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1457)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1457)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1440)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1441)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1440): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1441): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1442): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1443): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1444): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1445): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1446): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1447): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1448): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1449): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1450): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1451): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1452): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1453): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1454): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1455): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1456): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1457): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Thu Sep 12 14:53:06 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2333854) Waiting for packet dumper to finish... 1 (prev_count=2333854, count=2334351) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Thu Sep 12 14:53:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1461)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1461)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1461)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1461)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1462)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1462)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1462)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1462)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1463)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1463)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1463)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1463)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1464)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1464)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1464)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1464)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1465)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1465)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1465)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1465)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1466)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1466)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1466)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1466)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1467)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1467)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1467)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1467)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1468)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1468)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1468)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1468)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1469)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1469)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1469)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1469)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1470)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1470)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1470)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1470)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1471)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1471)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1471)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1471)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1472)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1472)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1472)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1472)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1459)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1460)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1459): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1460): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1461): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1462): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1463): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1464): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1465): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1466): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1467): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1468): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1469): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1470): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1471): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1472): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Thu Sep 12 14:53:11 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2324296) Waiting for packet dumper to finish... 1 (prev_count=2324296, count=2324793) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Thu Sep 12 14:53:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1481)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1481)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1481)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1481)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1482)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1482)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed MTC@2da1c7ca7d3a: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1482)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1482)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1483)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1483)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1483)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1483)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1475)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1475): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1476): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1477): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1478): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1479): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1480): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1481): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1482): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1483): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Thu Sep 12 14:53:21 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3495546) Waiting for packet dumper to finish... 1 (prev_count=3495546, count=3496043) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Thu Sep 12 14:53:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1492)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1492)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1492)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1492)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1493)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1493)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1493)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1493)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1494)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1494)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1494)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1494)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 IPA-CTRL-CLI-IPA(1486)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1486): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1487): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1488): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1489): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1490): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1491): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1492): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1493): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1494): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Thu Sep 12 14:53:27 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2254133) Waiting for packet dumper to finish... 1 (prev_count=2254133, count=2255067) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Thu Sep 12 14:53:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 IPA-CTRL-CLI-IPA(1497)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1497): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Thu Sep 12 14:53:33 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2533564) Waiting for packet dumper to finish... 1 (prev_count=2533564, count=2538737) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Thu Sep 12 14:53:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527)@2da1c7ca7d3a: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@2da1c7ca7d3a: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1516)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1516): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517): pass (none -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527): pass (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528): pass (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Thu Sep 12 14:53:39 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2358807) Waiting for packet dumper to finish... 1 (prev_count=2358807, count=2359304) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@2da1c7ca7d3a: Test execution finished. MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Thu Sep 12 14:53:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E5040083'O MTC@2da1c7ca7d3a: Sending RSL NOTIF_CMD (start) MTC@2da1c7ca7d3a: Received matching NOTIFICATION/NCH. MTC@2da1c7ca7d3a: Received matching NOTIFICATION/NCH. MTC@2da1c7ca7d3a: Sending RSL NOTIF_CMD (stop) MTC@2da1c7ca7d3a: Not received NOTIFICATION/NCH. (as expected) MTC@2da1c7ca7d3a: setverdict(pass): none -> pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":134 TC_vbs_notification-RSL-IPA(1529)@2da1c7ca7d3a: Final verdict of PTC: none TC_vbs_notification-RSL(1530)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1531)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: pass MTC@2da1c7ca7d3a: Local verdict of PTC TC_vbs_notification-RSL-IPA(1529): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vbs_notification-RSL(1530): none (pass -> pass) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1531): none (pass -> pass) MTC@2da1c7ca7d3a: Test case TC_vbs_notification finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass'. Thu Sep 12 14:53:48 UTC 2024 ====== BTS_Tests_ASCI.TC_vbs_notification pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5103797) Waiting for packet dumper to finish... 1 (prev_count=5103797, count=5109098) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Thu Sep 12 14:53:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Send UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Send UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 2, m := false, el := 1, payload := '062A'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Received UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":197 TC_vgcs_uplink_free_and_busy-RSL(1533)@2da1c7ca7d3a: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1534)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1532): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1533): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1534): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1535): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass'. Thu Sep 12 14:53:54 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2629968) Waiting for packet dumper to finish... 1 (prev_count=2629968, count=2630465) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Thu Sep 12 14:53:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Activating VGCS channel. TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Send UPLINK FREE. TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Send UPLINK ACCESS. TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Received VGCS UPLINK GRANT. TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: RSL Conn Fail Ind has been detected as expected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1539)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":297 TC_vgcs_talker_fail-RSL(1537)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1538)@2da1c7ca7d3a: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1536)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1536): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_talker_fail-RSL(1537): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1538): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_talker_fail(1539): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_vgcs_talker_fail finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass'. Thu Sep 12 14:54:15 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_fail pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20271905) Waiting for packet dumper to finish... 1 (prev_count=20271905, count=20272402) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Thu Sep 12 14:54:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Activating VGCS channel. TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Send UPLINK FREE. TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Send UPLINK ACCESS. TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0063500'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Received VGCS UPLINK GRANT. TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Send UPLINK FREE. TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '0353012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Received UPLINK FREE. TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":340 TC_vgcs_talker_est_rel-RSL(1541)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1542)@2da1c7ca7d3a: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1540)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1540): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1541): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1542): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_talker_est_rel(1543): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_vgcs_talker_est_rel finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass'. Thu Sep 12 14:54:21 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2442238) Waiting for packet dumper to finish... 1 (prev_count=2442238, count=2442735) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Thu Sep 12 14:54:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@2da1c7ca7d3a: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2da1c7ca7d3a: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2da1c7ca7d3a: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2da1c7ca7d3a: 2/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2da1c7ca7d3a: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2da1c7ca7d3a: 3/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32352D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2da1c7ca7d3a: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2da1c7ca7d3a: 4/4 transceiver(s) connected MTC@2da1c7ca7d3a: 4/4 RF Resource Indication(s) received MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2da1c7ca7d3a: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2da1c7ca7d3a: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1547)@2da1c7ca7d3a: Activating VGCS channel. TC_vgcs_listener_det(1547)@2da1c7ca7d3a: setverdict(pass): none -> pass TC_vgcs_listener_det(1547)@2da1c7ca7d3a: Send UPLINK ACCESS. TC_vgcs_listener_det(1547)@2da1c7ca7d3a: RSL Talker Listener has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_LISTENER_DET (54), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_listener_det(1547)@2da1c7ca7d3a: Send second UPLINK ACCESS. TC_vgcs_listener_det(1547)@2da1c7ca7d3a: Timeout waiting for RSL Listener Detect, as expected. TC_vgcs_listener_det(1547)@2da1c7ca7d3a: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_listener_det(1547)@2da1c7ca7d3a: Final verdict of PTC: pass MTC@2da1c7ca7d3a: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":418 TC_vgcs_listener_det-RSL(1545)@2da1c7ca7d3a: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1546)@2da1c7ca7d3a: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1544)@2da1c7ca7d3a: Final verdict of PTC: none MTC@2da1c7ca7d3a: Setting final verdict of the test case. MTC@2da1c7ca7d3a: Local verdict of MTC: none MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1544): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_listener_det-RSL(1545): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC IPA-CTRL-CLI-IPA(1546): none (none -> none) MTC@2da1c7ca7d3a: Local verdict of PTC TC_vgcs_listener_det(1547): pass (none -> pass) MTC@2da1c7ca7d3a: Test case TC_vgcs_listener_det finished. Verdict: pass MTC@2da1c7ca7d3a: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass'. Thu Sep 12 14:54:29 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_listener_det pass ====== Saving talloc report from 172.18.37.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4532779) Waiting for packet dumper to finish... 1 (prev_count=4532779, count=4533276) MTC@2da1c7ca7d3a: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass' was executed successfully (exit status: 0). MC@2da1c7ca7d3a: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@2da1c7ca7d3a: Terminating MTC. MC@2da1c7ca7d3a: MTC terminated. MC2> exit MC@2da1c7ca7d3a: Shutting down session. MC@2da1c7ca7d3a: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-hopping-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_toa256 pass->FAIL BTS_Tests.TC_meas_res_sign_tchf pass->FAIL BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass->FAIL BTS_Tests.TC_meas_res_sign_sdcch8 pass->FAIL BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass->FAIL BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass->FAIL BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass->FAIL BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass BTS_Tests.TC_pcu_time_ind pass BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_0 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_3 pass->FAIL BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass BTS_Tests.TC_speech_no_rtp_tchf pass BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass BTS_Tests.TC_early_immediate_assignment pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass->FAIL BTS_Tests.TC_acch_overpower_always_on_facch pass->FAIL BTS_Tests.TC_acch_overpower_always_on_sacch pass->FAIL BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass->FAIL BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass->FAIL BTS_Tests_LAPDm.TC_iframe_timer_recovery pass->FAIL BTS_Tests_LAPDm.TC_ns_seq_error pass BTS_Tests_LAPDm.TC_nr_seq_error pass->FAIL BTS_Tests_LAPDm.TC_rec_invalid_frame pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_dcch pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_sacch pass->FAIL BTS_Tests_LAPDm.TC_t200_n200 pass->FAIL BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass->FAIL BTS_Tests_LAPDm.TC_sabm_incorrect_c pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass BTS_Tests_ASCI.TC_vbs_notification pass BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass BTS_Tests_ASCI.TC_vgcs_talker_fail pass BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 31 xfail: 5 pass: 206 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-trxcon + docker kill jenkins-ttcn3-bts-test-asan-95-trxcon jenkins-ttcn3-bts-test-asan-95-trxcon + docker wait jenkins-ttcn3-bts-test-asan-95-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-fake_trx + docker kill jenkins-ttcn3-bts-test-asan-95-fake_trx jenkins-ttcn3-bts-test-asan-95-fake_trx + docker wait jenkins-ttcn3-bts-test-asan-95-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-bsc + docker kill jenkins-ttcn3-bts-test-asan-95-bsc jenkins-ttcn3-bts-test-asan-95-bsc + docker wait jenkins-ttcn3-bts-test-asan-95-bsc 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-95-bts + docker kill jenkins-ttcn3-bts-test-asan-95-bts jenkins-ttcn3-bts-test-asan-95-bts + docker wait jenkins-ttcn3-bts-test-asan-95-bts 137 + clean_up_common + set +e + set +x ### Clean up ### + trap - EXIT INT TERM 0 + type clean_up + clean_up + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + sed -i s/classname='\([^']\+\)'/classname='\1:hopping'/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/junit-xml-hopping-21.log + network_clean + docker network inspect ttcn3-bts-test-37 + grep Name + cut -d : -f2 + awk -F" NR>1{print $2} + local containers= + [ -n ] + network_remove + set +x Removing network ttcn3-bts-test-37 + docker network remove ttcn3-bts-test-37 ttcn3-bts-test-37 + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix + fix_perms + set +x Fixing permissions + id -u + id -g + docker run --rm -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/_cache:/cache --name jenkins-ttcn3-bts-test-asan-95-cleaner debian:bookworm sh -e -x -c chmod -R a+rX /data/ /cache/ chown -R 1000:1000 /data /cache + chmod -R a+rX /data/ /cache/ + chown -R 1000:1000 /data /cache + collect_logs + cat /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/junit-xml-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/junit-xml-hopping-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/junit-xml-oml-21.log <?xml version="1.0"?> <testsuite name='Titan' tests='242' failures='10' errors='0' skipped='0' inconc='0' time='4002.00'> <testcase classname='BTS_Tests' name='TC_est_dchan' time='14.995539'/> <testcase classname='BTS_Tests' name='TC_chan_act_stress' time='2.988809'/> <testcase classname='BTS_Tests' name='TC_chan_act_react' time='1.142546'/> <testcase classname='BTS_Tests' name='TC_chan_deact_not_active' time='1.239743'/> <testcase classname='BTS_Tests' name='TC_chan_act_wrong_nr' time='1.466842'/> <testcase classname='BTS_Tests' name='TC_deact_sacch' time='90.601222'/> <testcase classname='BTS_Tests' name='TC_sacch_filling' time='13.982928'/> <testcase classname='BTS_Tests' name='TC_sacch_info_mod' time='29.638852'/> <testcase classname='BTS_Tests' name='TC_sacch_multi' time='85.704937'/> <testcase classname='BTS_Tests' name='TC_sacch_multi_chg' time='94.344850'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act' time='29.241622'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act_ho_async' time='29.835381'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act_ho_sync' time='47.629177'/> <testcase classname='BTS_Tests' name='TC_rach_content' time='14.308834'/> <testcase classname='BTS_Tests' name='TC_rach_content_emerg' time='9.787007'/> <testcase classname='BTS_Tests' name='TC_rach_count' time='13.847665'/> <testcase classname='BTS_Tests' name='TC_rach_max_ta' time='10.666133'/> <testcase classname='BTS_Tests' name='TC_ho_rach' time='3.821441'/> <testcase classname='BTS_Tests' name='TC_ho_physical_info' time='3.258731'> <failure type='fail-verdict'>Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_rach_load_idle_thresh0' time='11.715524'/> <testcase classname='BTS_Tests' name='TC_rach_load_idle_below_thresh' time='6.174061'/> <testcase classname='BTS_Tests' name='TC_rach_load_count' time='20.960215'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf' time='9.034441'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf_facch' time='9.127654'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh' time='17.237121'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_facch' time='17.071411'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_toa256' time='17.073553'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchf' time='33.204553'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchh' time='16.808075'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_sdcch4' time='33.146034'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_sdcch8' time='65.346418'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchh_toa256' time='21.544946'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf_sapi3' time='8.985871'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_sapi3' time='9.133295'/> <testcase classname='BTS_Tests' name='TC_tx_power_start_ramp_up_bcch' time='7.731157'/> <testcase classname='BTS_Tests' name='TC_tx_power_down_bcch' time='10.801450'/> <testcase classname='BTS_Tests' name='TC_tx_power_ramp_adm_state_change' time='21.220465'/> <testcase classname='BTS_Tests' name='TC_rsl_bs_pwr_static_ass' time='2.301518'/> <testcase classname='BTS_Tests' name='TC_rsl_bs_pwr_static_power_control' time='2.513463'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_ctrl' time='62.624272'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_active' time='15.691120'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_active2' time='11.439493'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_up' time='20.669198'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_down' time='11.331917'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_ass_updown' time='7.683180'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_max' time='11.139296'/> <testcase classname='BTS_Tests' name='TC_rsl_chan_initial_ms_pwr' time='2.791079'/> <testcase classname='BTS_Tests' name='TC_rsl_chan_initial_ta' time='1.601830'/> <testcase classname='BTS_Tests' name='TC_rsl_modify_encr' time='13.941550'/> <testcase classname='BTS_Tests' name='TC_rsl_rf_resource_ind' time='14.854825'/> <testcase classname='BTS_Tests' name='TC_conn_fail_crit' time='17.691845'/> <testcase classname='BTS_Tests' name='TC_paging_imsi_80percent' time='22.492353'/> <testcase classname='BTS_Tests' name='TC_paging_tmsi_80percent' time='22.365110'/> <testcase classname='BTS_Tests' name='TC_paging_imsi_200percent' time='34.413941'/> <testcase classname='BTS_Tests' name='TC_paging_tmsi_200percent' time='28.228471'/> <testcase classname='BTS_Tests' name='TC_rsl_protocol_error' time='0.680465'/> <testcase classname='BTS_Tests' name='TC_rsl_mand_ie_error' time='1.168377'/> <testcase classname='BTS_Tests' name='TC_rsl_ie_content_error' time='1.183278'/> <testcase classname='BTS_Tests' name='TC_si_sched_default' time='9.241291'/> <testcase classname='BTS_Tests' name='TC_si_sched_1' time='9.120820'/> <testcase classname='BTS_Tests' name='TC_si_sched_2bis' time='9.140422'/> <testcase classname='BTS_Tests' name='TC_si_sched_2ter' time='9.127885'/> <testcase classname='BTS_Tests' name='TC_si_sched_2ter_2bis' time='9.112290'/> <testcase classname='BTS_Tests' name='TC_si_sched_2quater' time='17.068356'/> <testcase classname='BTS_Tests' name='TC_si_sched_13' time='8.980118'/> <testcase classname='BTS_Tests' name='TC_si_sched_13_2bis_2ter_2quater' time='17.081571'/> <testcase classname='BTS_Tests' name='TC_ipa_dlcx_not_active' time='0.998726'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_twice_not_active' time='1.237499'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_mdcx_dlcx_not_active' time='1.234858'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' time='1.270339'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_sdcch_not_active' time='1.201996'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_ack_addr' time='1.240970'> <failure type='fail-verdict'>CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_paging_imsi_200percent_with_ps' time='34.684630'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req' time='3.738295'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_ts' time='7.190920'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_bts' time='7.185620'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_trx' time='7.175736'/> <testcase classname='BTS_Tests' name='TC_pcu_deact_req' time='9.172770'/> <testcase classname='BTS_Tests' name='TC_pcu_deact_req_wrong_ts' time='5.162030'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si1' time='1.166923'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si3' time='1.129643'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si13' time='1.182483'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_pdtch' time='4.330169'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_ptcch' time='4.833448'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_bts' time='9.191434'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_trx' time='9.137072'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_ts' time='9.141121'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_ts_inactive' time='6.135148'/> <testcase classname='BTS_Tests' name='TC_pcu_ptcch' time='6.767398'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_agch' time='4.204181'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_pch' time='4.202044'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_imm_ass_pch' time='1.481405'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_imm_ass_agch' time='1.409208'/> <testcase classname='BTS_Tests' name='TC_pcu_rach_content' time='10.641097'/> <testcase classname='BTS_Tests' name='TC_pcu_ext_rach_content' time='10.157232'/> <testcase classname='BTS_Tests' name='TC_pcu_data_ind_lqual_cb' time='4.573182'/> <testcase classname='BTS_Tests' name='TC_pcu_paging_from_rsl' time='1.459292'/> <testcase classname='BTS_Tests' name='TC_pcu_time_ind' time='8.971351'> <failure type='fail-verdict'>Number of TDMA Frames (1036) not matching (1063 .. 1103) BTS_Tests.ttcn:9354 BTS_Tests control part BTS_Tests.ttcn:6113 TC_pcu_time_ind testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_pcu_rts_req' time='9.152205'> <failure type='fail-verdict'>Number of TDMA Frames (1048) not matching (1063 .. 1103) BTS_Tests.ttcn:9355 BTS_Tests control part BTS_Tests.ttcn:6184 TC_pcu_rts_req testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_pcu_oml_alert' time='3.974008'> <failure type='fail-verdict'>Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_pcu_rr_suspend' time='1.617569'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_multi' time='1.179232'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_reconnect' time='3.066113'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_noconnect_nosi3gprs' time='4.000575'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_noconnect_nosi4gprs' time='8.197566'> <failure type='fail-verdict'>"BTS_Tests.ttcn:6344 : Timeout waiting for SYSTEM_INFORMATION_TYPE_4 (28)" BTS_Tests.ttcn:9361 BTS_Tests control part BTS_Tests.ttcn:6389 TC_pcu_socket_noconnect_nosi4gprs testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_si3gprs' time='3.977270'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_si4gprs' time='3.323855'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_disconnect_nosi3gprs' time='4.911692'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_disconnect_nosi4gprs' time='5.043641'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_verify_info_ind' time='3.156809'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_act_deact' time='4.164093'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_double_act' time='1.219603'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_act_deact' time='4.201080'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_act_tchf_act_nack' time='1.211782'/> <testcase classname='BTS_Tests' name='TC_pcu_info_ind_fh_params' time='1.194162'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_nsvc_ipv4' time='1.188069'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_nsvc_ipv6' time='1.139511'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_two_nsvc' time='1.217307'/> <testcase classname='BTS_Tests' name='TC_pcu_interf_ind' time='6.370589'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_unsol_deact' time='1.223278'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchf_act' time='1.204865'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchh_act' time='1.260954'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_sdcch8_act' time='4.309533'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchh_race_act' time='2.777689'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_sdcch8_race_act' time='2.807152'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_tchf_act' time='1.220961'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' time='1.227294'/> <testcase classname='BTS_Tests' name='TC_rll_est_ind' time='43.456017'/> <testcase classname='BTS_Tests' name='TC_rll_est_req_DCCH_3' time='6.243798'/> <testcase classname='BTS_Tests' name='TC_rll_est_req_ACCH_3' time='2.836609'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_DCCH_0' time='2.410964'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_DCCH_3' time='2.455658'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_ACCH_0' time='8.659455'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_ACCH_3' time='8.681452'/> <testcase classname='BTS_Tests' name='TC_rll_rel_req' time='20.195925'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_req_DCCH' time='2.261286'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_req_ACCH' time='4.812205'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_ind_DCCH' time='2.429835'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_ind_ACCH' time='8.624444'/> <testcase classname='BTS_Tests' name='TC_chan_act_a51' time='1.875595'/> <testcase classname='BTS_Tests' name='TC_chan_act_a52' time='1.994710'/> <testcase classname='BTS_Tests' name='TC_chan_act_a53' time='2.000080'/> <testcase classname='BTS_Tests' name='TC_chan_act_a54' time='2.016386'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a51' time='4.232050'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a52' time='4.238408'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a53' time='4.182222'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a54' time='4.202115'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_mdisc' time='1.218522'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_msg_type' time='1.274442'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_sequence' time='1.182574'/> <testcase classname='BTS_Tests' name='TC_lapdm_selftest' time='0.002646'/> <testcase classname='BTS_Tests' name='TC_tch_sign_l2_fill_frame' time='18.638845'/> <testcase classname='BTS_Tests' name='TC_tch_sign_l2_fill_frame_dtxd' time='2.107519'> <failure type='fail-verdict'>Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_chopped_ipa_ping' time='24.546584'/> <testcase classname='BTS_Tests' name='TC_chopped_ipa_payload' time='42.473488'/> <testcase classname='BTS_Tests' name='TC_ms_pwr_ctrl_constant' time='16.291419'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_ms_pwr_ctrl_pf_ewma' time='31.329252'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_speech_no_rtp_tchf' time='7.159173'/> <testcase classname='BTS_Tests' name='TC_speech_no_rtp_tchh' time='5.368787'/> <testcase classname='BTS_Tests' name='TC_speech_rtp_tchf' time='7.491726'/> <testcase classname='BTS_Tests' name='TC_speech_rtp_tchh' time='5.406147'/> <testcase classname='BTS_Tests' name='TC_speech_osmux_tchf' time='3.426613'/> <testcase classname='BTS_Tests' name='TC_speech_osmux_tchh' time='3.329300'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf144' time='1.147147'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf96' time='2.098324'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf48' time='2.104999'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchh48' time='2.428849'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf24' time='1.626585'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchh24' time='2.333220'/> <testcase classname='BTS_Tests' name='TC_early_immediate_assignment' time='3.499662'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_rxqual_thresh' time='20.923201'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_rxqual_thresh_dtx' time='13.323643'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_always_on_facch' time='11.421490'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_always_on_sacch' time='11.986534'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_limit' time='11.995491'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbch_load_idle_no_cbch' time='11.095071'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_1block' time='14.240348'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_2block' time='14.163699'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_3block' time='14.157553'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_4block' time='14.058201'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_multi' time='20.087856'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_schedule' time='14.119535'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_only' time='16.125921'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_and_normal' time='17.059969'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_then_null' time='26.144981'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch4_load_idle' time='15.914896'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch4_load_overload' time='18.167614'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_1block' time='14.128177'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_2block' time='14.096896'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_3block' time='14.169123'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_4block' time='14.126542'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_multi' time='20.121983'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_schedule' time='13.903619'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_default_only' time='16.144383'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_default_and_normal' time='17.121621'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch8_load_idle' time='15.972581'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch8_load_overload' time='18.161594'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_p1ro' time='12.006014'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_p1ro_end' time='16.058711'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_pcu' time='1.138503'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi0' time='2.311822'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi0_nopayload' time='21.511370'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi3' time='21.148169'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi4' time='21.039828'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_contention' time='21.179755'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_retransmit' time='3.261120'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_retransmit_bts' time='81.510477'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_invalid_resp' time='21.943284'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_dm' time='13.718478'/> <testcase classname='BTS_Tests_LAPDm' name='TC_establish_ign_first_sabm' time='8.086283'/> <testcase classname='BTS_Tests_LAPDm' name='TC_iframe_seq_and_ack' time='14.341821'/> <testcase classname='BTS_Tests_LAPDm' name='TC_iframe_timer_recovery' time='6.618265'/> <testcase classname='BTS_Tests_LAPDm' name='TC_ns_seq_error' time='13.273222'/> <testcase classname='BTS_Tests_LAPDm' name='TC_nr_seq_error' time='2.916089'/> <testcase classname='BTS_Tests_LAPDm' name='TC_rec_invalid_frame' time='137.831401'/> <testcase classname='BTS_Tests_LAPDm' name='TC_segm_concat_dcch' time='14.786151'/> <testcase classname='BTS_Tests_LAPDm' name='TC_segm_concat_sacch' time='60.059159'/> <testcase classname='BTS_Tests_LAPDm' name='TC_t200_n200' time='39.532345'/> <testcase classname='BTS_Tests_LAPDm' name='TC_rr_response_frame_loss' time='3.288950'/> <testcase classname='BTS_Tests_LAPDm' name='TC_incorrect_cr' time='15.275391'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_incorrect_c' time='4.301347'/> <testcase classname='BTS_Tests_LAPDm' name='TC_normal_reestablishment' time='22.070442'/> <testcase classname='BTS_Tests_LAPDm' name='TC_normal_reestablishment_state_unacked' time='14.087181'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_vff' time='2.351679'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_vhh' time='2.583331'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_hvhh' time='2.561857'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_ipa_vff' time='2.513958'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_vff' time='2.527990'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_vhh' time='2.602738'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_hvhh' time='2.561934'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_vff' time='1.326753'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_vhh' time='1.405244'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_hvhh' time='1.380040'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_ipa_vff' time='1.338520'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_vff' time='1.321398'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_vhh' time='1.418908'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_hvhh' time='1.377941'/> <testcase classname='BTS_Tests_ASCI' name='TC_vbs_notification' time='4.651528'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_uplink_free_and_busy' time='1.714654'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_talker_fail' time='16.468017'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_talker_est_rel' time='1.306698'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_listener_det' time='3.299852'/> </testsuite> <?xml version="1.0"?> <testsuite name='Titan' tests='242' failures='36' errors='0' skipped='0' inconc='0' time='3952.00'> <testcase classname='BTS_Tests:hopping' name='TC_est_dchan' time='15.536346'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_stress' time='3.035530'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_react' time='1.135603'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_deact_not_active' time='1.241786'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_wrong_nr' time='1.472059'/> <testcase classname='BTS_Tests:hopping' name='TC_deact_sacch' time='90.989951'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_filling' time='14.425713'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_info_mod' time='29.599001'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_multi' time='85.677526'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_multi_chg' time='94.403444'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act' time='29.407491'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act_ho_async' time='29.820371'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act_ho_sync' time='47.714790'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_content' time='9.291554'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_content_emerg' time='9.782996'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_count' time='13.855369'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_max_ta' time='10.660737'/> <testcase classname='BTS_Tests:hopping' name='TC_ho_rach' time='3.816912'/> <testcase classname='BTS_Tests:hopping' name='TC_ho_physical_info' time='3.264941'> <failure type='fail-verdict'>Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_idle_thresh0' time='11.710156'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_idle_below_thresh' time='6.159721'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_count' time='20.953624'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf' time='9.024472'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf_facch' time='9.126770'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh' time='2.154314'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9277 BTS_Tests control part BTS_Tests.ttcn:3659 TC_meas_res_speech_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_facch' time='2.276841'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9278 BTS_Tests control part BTS_Tests.ttcn:3663 TC_meas_res_speech_tchh_facch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_toa256' time='2.280986'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9279 BTS_Tests control part BTS_Tests.ttcn:3678 TC_meas_res_speech_tchh_toa256 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchf' time='10.285562'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9280 BTS_Tests control part BTS_Tests.ttcn:3692 TC_meas_res_sign_tchf testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchh' time='2.136627'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9281 BTS_Tests control part BTS_Tests.ttcn:3705 TC_meas_res_sign_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_sdcch4' time='33.446374'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_sdcch8' time='3.303243'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9283 BTS_Tests control part BTS_Tests.ttcn:3731 TC_meas_res_sign_sdcch8 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchh_toa256' time='2.268446'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9284 BTS_Tests control part BTS_Tests.ttcn:3745 TC_meas_res_sign_tchh_toa256 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf_sapi3' time='9.257934'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_sapi3' time='9.130369'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_start_ramp_up_bcch' time='7.754168'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_down_bcch' time='10.805558'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_ramp_adm_state_change' time='21.195478'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9289 BTS_Tests control part BTS_Tests.ttcn:3039 TC_tx_power_ramp_adm_state_change testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rsl_bs_pwr_static_ass' time='2.292952'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_bs_pwr_static_power_control' time='2.519071'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_ctrl' time='62.564602'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_active' time='15.794129'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_active2' time='11.443065'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_up' time='20.675153'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_down' time='11.334069'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_ass_updown' time='7.705920'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_max' time='11.154823'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_chan_initial_ms_pwr' time='2.792649'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_chan_initial_ta' time='1.615082'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_modify_encr' time='13.925423'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_rf_resource_ind' time='14.887588'/> <testcase classname='BTS_Tests:hopping' name='TC_conn_fail_crit' time='25.642653'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_80percent' time='22.345595'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_tmsi_80percent' time='22.364115'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_200percent' time='34.395659'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_tmsi_200percent' time='28.233935'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_protocol_error' time='0.804187'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_mand_ie_error' time='1.225792'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ie_content_error' time='1.208663'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_default' time='9.252270'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_1' time='9.156073'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2bis' time='9.152304'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2ter' time='9.146969'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2ter_2bis' time='9.144201'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2quater' time='17.137751'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_13' time='9.061255'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_13_2bis_2ter_2quater' time='17.139811'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_dlcx_not_active' time='1.016938'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_twice_not_active' time='1.212946'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_mdcx_dlcx_not_active' time='1.241096'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' time='1.227443'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_sdcch_not_active' time='1.246182'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_ack_addr' time='1.225472'> <failure type='fail-verdict'>CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_200percent_with_ps' time='34.706267'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req' time='3.749158'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_ts' time='7.205193'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_bts' time='7.180173'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_trx' time='7.168112'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_deact_req' time='9.137630'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_deact_req_wrong_ts' time='5.136527'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si1' time='1.173061'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si3' time='1.220401'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si13' time='1.209596'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_pdtch' time='4.297307'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_ptcch' time='4.884440'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_bts' time='9.221604'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_trx' time='9.179827'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_ts' time='9.164546'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_ts_inactive' time='6.161203'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ptcch' time='6.214551'> <failure type='fail-verdict'>Timeout waiting for L1CTL_RACH_CONF BTS_Tests.ttcn:9345 BTS_Tests control part BTS_Tests.ttcn:5742 TC_pcu_ptcch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_agch' time='4.228157'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_pch' time='4.257241'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_imm_ass_pch' time='1.432238'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_imm_ass_agch' time='1.419473'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rach_content' time='9.958337'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ext_rach_content' time='9.817160'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_ind_lqual_cb' time='5.177052'> <failure type='fail-verdict'>"BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" BTS_Tests.ttcn:9352 BTS_Tests control part BTS_Tests.ttcn:6019 TC_pcu_data_ind_lqual_cb testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_paging_from_rsl' time='1.284245'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_time_ind' time='9.026416'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rts_req' time='9.156870'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_oml_alert' time='4.196570'> <failure type='fail-verdict'>Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rr_suspend' time='1.606543'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_multi' time='1.221732'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_reconnect' time='3.220360'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_noconnect_nosi3gprs' time='3.973826'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_noconnect_nosi4gprs' time='3.240734'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_si3gprs' time='3.964052'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_si4gprs' time='3.225681'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_disconnect_nosi3gprs' time='4.894419'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_disconnect_nosi4gprs' time='5.111610'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_verify_info_ind' time='3.159743'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_act_deact' time='4.232855'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_double_act' time='1.218826'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_act_deact' time='4.238948'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_act_tchf_act_nack' time='1.225717'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_info_ind_fh_params' time='1.212188'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_nsvc_ipv4' time='1.212566'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_nsvc_ipv6' time='1.209816'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_two_nsvc' time='1.211330'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_interf_ind' time='6.439746'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_unsol_deact' time='1.176727'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchf_act' time='1.241567'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchh_act' time='1.232638'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_sdcch8_act' time='1.262018'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchh_race_act' time='3.020774'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_sdcch8_race_act' time='2.827338'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_tchf_act' time='1.230095'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' time='1.244558'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_ind' time='45.740267'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_req_DCCH_3' time='1.124301'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_req_ACCH_3' time='2.951201'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_DCCH_0' time='2.953374'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_DCCH_3' time='2.952192'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_ACCH_0' time='26.825632'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9394 BTS_Tests control part BTS_Tests.ttcn:7422 TC_rll_rel_ind_ACCH_0 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_ACCH_3' time='26.550298'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9395 BTS_Tests control part BTS_Tests.ttcn:7434 TC_rll_rel_ind_ACCH_3 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_req' time='36.682959'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9396 BTS_Tests control part BTS_Tests.ttcn:7471 TC_rll_rel_req testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_req_DCCH' time='2.218987'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_req_ACCH' time='4.802819'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_ind_DCCH' time='2.894355'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_ind_ACCH' time='10.503551'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a51' time='2.586379'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a52' time='2.703331'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a53' time='2.695616'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a54' time='2.697097'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a51' time='5.224069'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a52' time='5.174068'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a53' time='5.190694'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a54' time='5.199936'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_mdisc' time='1.173553'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_msg_type' time='1.274920'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_sequence' time='1.246507'/> <testcase classname='BTS_Tests:hopping' name='TC_lapdm_selftest' time='0.001977'/> <testcase classname='BTS_Tests:hopping' name='TC_tch_sign_l2_fill_frame' time='18.416156'/> <testcase classname='BTS_Tests:hopping' name='TC_tch_sign_l2_fill_frame_dtxd' time='2.055586'> <failure type='fail-verdict'>Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_chopped_ipa_ping' time='24.691406'/> <testcase classname='BTS_Tests:hopping' name='TC_chopped_ipa_payload' time='42.561671'/> <testcase classname='BTS_Tests:hopping' name='TC_ms_pwr_ctrl_constant' time='16.271435'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_ms_pwr_ctrl_pf_ewma' time='31.362047'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_speech_no_rtp_tchf' time='7.220897'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_no_rtp_tchh' time='5.324378'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_rtp_tchf' time='7.446027'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_rtp_tchh' time='5.390113'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_osmux_tchf' time='3.376105'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_osmux_tchh' time='3.393419'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf144' time='1.268509'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf96' time='2.091956'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf48' time='2.138670'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchh48' time='2.356143'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf24' time='1.775968'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchh24' time='2.366332'/> <testcase classname='BTS_Tests:hopping' name='TC_early_immediate_assignment' time='3.518687'/> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_rxqual_thresh' time='10.218811'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9442 BTS_Tests control part BTS_Tests.ttcn:9083 TC_acch_overpower_rxqual_thresh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_rxqual_thresh_dtx' time='10.183817'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9443 BTS_Tests control part BTS_Tests.ttcn:9124 TC_acch_overpower_rxqual_thresh_dtx testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_always_on_facch' time='10.177645'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9444 BTS_Tests control part BTS_Tests.ttcn:9156 TC_acch_overpower_always_on_facch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_always_on_sacch' time='10.214044'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9445 BTS_Tests control part BTS_Tests.ttcn:9188 TC_acch_overpower_always_on_sacch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_limit' time='10.216262'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9446 BTS_Tests control part BTS_Tests.ttcn:9219 TC_acch_overpower_limit testcase </failure> </testcase> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbch_load_idle_no_cbch' time='11.135756'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_1block' time='14.238288'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_2block' time='14.227101'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_3block' time='14.205419'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_4block' time='14.169689'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_multi' time='20.187740'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_schedule' time='14.114886'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_only' time='16.200186'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_and_normal' time='17.162151'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_then_null' time='26.155608'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch4_load_idle' time='15.981158'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch4_load_overload' time='18.176437'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_1block' time='14.194057'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_2block' time='14.208703'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_3block' time='14.198938'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_4block' time='14.194512'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_multi' time='20.213417'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_schedule' time='14.145816'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_default_only' time='16.200713'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_default_and_normal' time='17.153392'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch8_load_idle' time='16.160158'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch8_load_overload' time='18.183395'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_p1ro' time='12.138200'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_p1ro_end' time='16.119117'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_pcu' time='3.919355'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi0' time='2.858172'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi0_nopayload' time='21.489897'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi3' time='21.222570'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi4' time='21.187618'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_contention' time='21.233556'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_retransmit' time='3.079240'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_retransmit_bts' time='81.500101'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_invalid_resp' time='22.993409'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_dm' time='13.914325'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_establish_ign_first_sabm' time='7.891786'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_iframe_seq_and_ack' time='29.506188'> <failure type='fail-verdict'>Received RSL ERROR IND &lt;unbound> BTS_Tests_LAPDm.ttcn:1484 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:579 TC_iframe_seq_and_ack testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_iframe_timer_recovery' time='24.509781'> <failure type='fail-verdict'>Received RSL ERROR IND &lt;unbound> BTS_Tests_LAPDm.ttcn:1485 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:685 TC_iframe_timer_recovery testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_ns_seq_error' time='29.717587'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1486 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:768 TC_ns_seq_error testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_nr_seq_error' time='2.751242'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_rec_invalid_frame' time='118.764658'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" BTS_Tests_LAPDm.ttcn:1488 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:961 TC_rec_invalid_frame testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_segm_concat_dcch' time='12.994524'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1489 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1088 TC_segm_concat_dcch testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_segm_concat_sacch' time='48.337905'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1490 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1093 TC_segm_concat_sacch testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_t200_n200' time='44.594569'> <failure type='fail-verdict'>Missing RSL RLL ERROR INDICATION BTS_Tests_LAPDm.ttcn:1491 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1182 TC_t200_n200 testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_rr_response_frame_loss' time='27.391257'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1492 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1239 TC_rr_response_frame_loss testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_incorrect_cr' time='17.992817'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_incorrect_c' time='23.239250'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1494 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1341 TC_sabm_incorrect_c testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_normal_reestablishment' time='15.976982'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '0C7291E216'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1495 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1403 TC_normal_reestablishment testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_normal_reestablishment_state_unacked' time='29.778693'> <failure type='fail-verdict'>Received RSL ERROR IND &lt;unbound> BTS_Tests_LAPDm.ttcn:1496 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1470 TC_normal_reestablishment_state_unacked testcase </failure> </testcase> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_vff' time='2.098533'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_vhh' time='2.626371'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_hvhh' time='2.570643'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_ipa_vff' time='2.405503'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_vff' time='2.552282'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_vhh' time='2.585134'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_hvhh' time='2.554745'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_vff' time='1.333937'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_vhh' time='1.426225'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_hvhh' time='1.500767'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_ipa_vff' time='4.743414'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_vff' time='1.505784'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_vhh' time='1.577170'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_hvhh' time='1.393566'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vbs_notification' time='4.385002'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_uplink_free_and_busy' time='1.668595'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_talker_fail' time='16.542520'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_talker_est_rel' time='1.264020'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_listener_det' time='3.287004'/> </testsuite> <?xml version="1.0"?> <testsuite name='Titan' tests='17' failures='0' errors='0' skipped='0' inconc='0' time='95.00'> <testcase classname='BTS_Tests_OML' name='TC_wrong_mdisc' time='10.042131'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_msgtype' time='0.699915'/> <testcase classname='BTS_Tests_OML' name='TC_short_length' time='0.701074'/> <testcase classname='BTS_Tests_OML' name='TC_long_length' time='0.702903'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_placement' time='0.700012'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_seq' time='0.704533'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_obj_class' time='0.708040'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_bts_nr' time='0.697605'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_trx_nr' time='0.699859'/> <testcase classname='BTS_Tests_OML' name='TC_radio_carrier_opstart_noattr' time='0.705901'/> <testcase classname='BTS_Tests_OML' name='TC_bts_opstart' time='0.712225'/> <testcase classname='BTS_Tests_OML' name='TC_bts_opstart_noattr' time='0.703705'/> <testcase classname='BTS_Tests_OML' name='TC_ts_opstart' time='0.714516'/> <testcase classname='BTS_Tests_OML' name='TC_ts_opstart_noattr' time='0.708105'/> <testcase classname='BTS_Tests_OML' name='TC_initial_state_reports' time='0.689196'/> <testcase classname='BTS_Tests_OML' name='TC_ipa_osmo_pcu_anr_fwd' time='0.708649'/> <testcase classname='BTS_Tests_OML' name='TC_ipa_rsl_connect_nack' time='0.705830'/> </testsuite> Recording test results [Checks API] No suitable checks publisher found. Build step 'Publish JUnit test result report' changed build result to UNSTABLE Archiving artifacts Finished: UNSTABLE